Posts Tagged with "Design"

既に発行済みのブログであっても適宜修正・追加することがあります。
We may make changes and additions to blogs already published.
posted by sakurai on September 3, 2021 #434

Qiitaに「BSVによるSpaceInvaderのソースを公開」という記事を書きましたが、それに合わせてUltra96toPMOD基板の再設計(V8)を実施しました。現行のV7との変更点は、以下の2点です。

  • UltraZedボードインタフェースの削除
    UltraZedからは能動素子無しにUltraZedボード⇒Ultra96toPMODボード⇒PMODインタフェースボードと経由して信号を通していました。今回評価したところ、反射が起きて正常に動作しませんでした。Ultra96toPMODボード内でバッファを通す必要があったようです。設計変更も考えましたが、あまり需要が無さそうであるため、このインタフェースは削除することにしました。これに伴いZenarダイオードによる電源のOR回路も省略でき、電源まわりがすっきりしました。

  • 4層から2層に変更
    4層基板を2層としました。理由は、以前はそれほど高額でない料金で4層板が設計できたものが、EAGLEがAutodeskに買収されたため、高額なサブスクリプションでなければ4層板が設計できなくなったことです。無償で設計できるのが2層までとなったため、設計変更しました。

図%%.1
図434.1 Ultra96toPMODV8ボード表面図

データの場所は、https://github.com/mocapapa/Ultra96toPMODV8です。

今回もPCBA(SMD実装込み)を依頼しましたが、SeedFusionだと1万5千円以上だったので、BokTechに依頼しました。費用内訳は、

  • 基板代 1.0 USD
  • アセンブリ費用(部品代込み) 29.83 USD
  • DHL送料 34.0 USD

合計64.83 USDでした。


左矢前のブログ 次のブログ右矢

posted by sakurai on July 24, 2021 #425

BSVによるSpaceInvaderのVerilogソースを公開」と題する記事をQiitaに投稿しました。

図%%.1
図425.1 Qiita投稿

ボードの説明、Verilogソースの入手法、VivadoによるFPGAの配置配線までをガイドしています。


左矢前のブログ 次のブログ右矢

デザインのボード間移植

posted by sakurai on June 18, 2021 #424

ArtyボードからUltra96ボードへ

RTLにはボード依存性はありませんが、トップモジュールやIPにはボード依存性があるため、その移植が必要となります。まずトップ配線(ブロック間配線)は、Export Block Designにより、配線情報がTCLにより得られるので、それを入力したいところです。しかし、ボード依存性があるようで、新ボードを設定した上で旧ボードのTCLを読み込むとエラーになってしまいます。そのため、

  • 旧ボードの環境でデザインを構築しておき、新規ボードに変換する

方法により、デザインのボード間移植を実施しました。

新規プロジェクトの生成

最初に旧ボードでプロジェクトを生成します。この段階で必要なものはVerilogソースが全て含まれているsrcディレクトリ、ブロックデザインのTCL、新ボードのXDCのひな形です。

  1. Vivadoの下にプロジェクトフォルダを作成します。その下に、Verilogソースが全て含まれているsrcディレクトリ、ブロックデザインのtcl及び、xdcを配置します。
  2. Vivadoを起動し、Create Project⇒Next⇒RTLプロジェクトを選択し、Verilogソースフォルダを選択します。
  3. 次にconstraintファイルとしてxdcを設定します。
  4. Boardsとして旧ボードを選択します。
  5. FinishによりProjectが生成されます。
  6. IP Integrator⇒Create Block Designを実行します。
  7. BLOCK DESIGN⇒Sources⇒Design sourcesのトップデザインであるdesin_1に対してラッパを生成します。
  8. design_1を選択して右クリックでCreate HDL wrapperを実行するとダイアログが現れます。
  9. Let Vivado ...を選択してOKをクリックします。
  10. オレンジの階層だったものが、design_1_wapperというグリーンの階層が生成されます。
  11. それを右クリックし、Set as topにより、トップ階層とします。
  12. 最下段のTCL Console内で、source C:/Users/<ユーザ名>/Vivado/<プロジェクト名>/design_1.tclを実行します。
  13. ブロックが配置され、ブロック間に配線されます。Diagramを右クリックしてExpand Allし、Regenerate Layoutをクリックします。
  14. タイトルコメントのText sizeを64、Box fill colorを204,255,255とします。

新ボードへ移行

  1. ボードが旧ボードになっているので、PROJECT MANAGER⇒Settingsでダイアログを立ち上げ、Project Settings⇒General⇒Project device:によりボードを新ボードに変更します。
  2. BLOCK DESIGNに、IPのアップグレードを促す指示が出るため、個数をクリックします。Show IP Statusをクリックします。
  3. 最下段のUpgrade SelectedをクリックしIPのアップグレードを実施します。
  4. IPによっては結線が外れていることがあるため、良く見直して、外れていれば接続しなおします。

注意点

coeファイルは相対ディレクトリで記録されますが、tclスクリプトからうまく参照できないようなので、全て絶対ディレクトリ(例:e:\file.coe)として参照できるようにしました。


左矢前のブログ 次のブログ右矢

敵弾の貫通度の修正 (3)

posted by sakurai on June 17, 2021 #423

実行結果

このように修正した結果、以下の図423.1に示すように、敵弾の種類により貫通度を変えることができました。

図%%.1
図423.1 貫通度の違い

図423.1の下部中央のバリケードの

  • 右側に当たったのは、"Rolling"ショットで貫通度=3
  • 左側に当たったのは、"Plunger"ショットで貫通度=7

と深さが異なります。

以下の図はFPGAボードの動画です。最初に右側にRollingショット、次に左側にPlungerショットが着弾しています。

図%%.2
図423.2 貫通度の違い(動画)

以下の図は前記事のオリジナル動画です。最初に左側にPlungerショット、次に右側にRollingショットが着弾しています。

図%%.3
図423.3 貫通度の違い(オリジナル動画)

左矢前のブログ 次のブログ右矢

敵弾の貫通度の修正 (2)

posted by sakurai on June 16, 2021 #422

敵弾種類

敵弾名はインベーダゲームソースの研究から引用しています。図422.1の左から、Squiggly (抵抗器のようなジグザグ)、Rolling、Plunger (パイプつまり直し)と名前がついています。

図%%.1
図422.1 敵弾の種類

図422.2において、敵弾の貫通度は左からそれぞれ3, 3, 7としています。

BSVコードの修正

元々敵弾をランダム(風)に出現させるため、カウンタの値により敵弾タイプを決めていますが、同じように貫通度を決めるテーブルです。

UInt#(3) invBullet_pdval[8] =   { 7,  3, 3,  7, 3,  3,  7, 3}; // pd = penetrating depth

次は貫通度を記憶するためのレジスタであり、敵弾数だけ持つ必要があります。

Reg#(UInt#(3)) invBullet_pd[`INV_BULLET_MAX];

このレジスタを各敵弾にひとつ持たせるために敵弾の同時最大数だけインスタンシエートします。

for (int ii = 0; ii < `INV_BULLET_MAX; ii = ii + 1) begin
 :
   invBullet_pd[ii] <- mkRegU;
 :
end

敵弾発生部において、タイプを決定するのと同じロジックにより、上記の表を引きます。

            invBullet_type[idx] <= invBullet_rand[truncate(counter) & 3'h7];
            invBullet_pd[idx] <= invBullet_pdval[truncate(counter) & 3'h7];

敵弾衝突の一部です。この2つのseq - endseqブロックは元は一つでしたが、base(バリケード)の時だけこの貫通度を使用するように分離します。他の場合は最深(貫通度=7)固定とします。

                endseq else if (fbase) seq
                    eraseInvBullet(invBullet_x[idx], invBullet_y[idx]);     // 現敵弾消去
                    explodeInvBullet(invBullet_x[idx], invBullet_y[idx], invBullet_pd[idx]);   // 次敵弾爆発
                    invBullet_expTimer[idx] <= 1;           // 敵弾爆発タイマスタート
                    voff <= 5; // break 'for'
                 endseq else if (fobj || invBullet_y[idx] >= 225) seq
                    eraseInvBullet(invBullet_x[idx], invBullet_y[idx]);     // 現敵弾消去
                    invBullet_pd[idx] <= 7; // 最深
                    explodeInvBullet(invBullet_x[idx], invBullet_y[idx], 7);   // 次敵弾爆発
                    invBullet_expTimer[idx] <= 1;           // 敵弾爆発タイマスタート
                    voff <= 5; // break 'for'

コール先の爆発ルーチンです。爆発は、爆発マークを表示することで開始します。

// 敵弾爆発
function Stmt explodeInvBullet(
              UInt#(8) destx,
              UInt#(8) desty,
              UInt#(3) pd);
   return (seq
      orArea(43, 16, destx - 3, desty + extend(pd), 8, 8);
   endseq);
endfunction

爆発マーク消去コール部分です。爆発開始から一定時間後に、保存してある貫通度を使用して爆発マークを消去します。

           expEraseInvBullet(invBullet_x[idx], invBullet_y[idx], invBullet_pd[idx]);        // 敵弾爆発マーク消去

コール先の爆発マーク消去ルーチンです。

// 敵弾爆発マーク消去
function Stmt expEraseInvBullet(
              UInt#(8) destx,
              UInt#(8) desty,
              UInt#(3) pd);
   return (seq
      eraseAreaSP(43, 16, destx - 3, desty + extend(pd), 8, 8);
   endseq);
endfunction

左矢前のブログ 次のブログ右矢

敵弾の貫通度の修正

posted by sakurai on June 15, 2021 #420

Youtubeの動画の分析

この動画を観察すると、バリケード(シールド)への貫通度が、敵弾の種類によって異なるように思われます。

下図は左端のインベーダからT字型(Plunger)の敵弾が発射されたところです。

図%%.1
図420.1 敵弾1

敵弾が左端のバリケードに着弾しました。深く潜って(貫通度=7)爆発しています。

図%%.2
図420.2 敵弾1爆発

同じく左端のインベーダからジグザグ型(Squiggly)の敵弾が発射されたところです。

図%%.3
図420.3 敵弾2

敵弾が左端のバリケードに着弾しました。浅く潜って(貫通度=3)爆発しています。明らかに敵弾の種類により貫通度が異なっています。

図%%.4
図420.4 敵弾2爆発

動画を観察した限りでは、T字型の敵弾が7、他の敵弾は貫通度=3で爆発するようでした。一方、最下端では7で爆発するようなので、バリケードで爆発する際にのみ、貫通度(penetration distance)を設定することにします。


左矢前のブログ 次のブログ右矢

オープニングアニメーション (3)

posted by sakurai on June 11, 2021 #418

呼び出し側

前々稿に個別ファンクションを掲載したので、それをオープニングアニメーションとしてまとめるファンクションです。オープニングアニメーション時を示すようにfoaというフラグをTrueにしています。これがTrueの場合は、ウエイトルーチンにおいてボタンが押された場合にウエイトを中止する仕様となっています。

function Stmt openingAnimation;
   return (seq
      // Opening Animation
      foa <= True;
      eraseArea( 0, 41, 255, 199); // erase screen
      eraseArea(25,242, 5, 7); // erase zanki
      stringS1; // PLAY ...
      if (sbutton) break;
      wait_timer(`TICK_WAIT64);
      if (sbutton) break;
      stringS2; // *SCORE ...
      if (sbutton) break;
      wait_timer(`TICK_WAIT32);
      if (sbutton) break;
      stringS3; // =? MYSTERY ...
      if (sbutton) break;
      wait_timer(`TICK_WAIT64);
      if (sbutton) break;
      replaceY; // ^ -> Y
      if (sbutton) break;
      wait_timer(`TICK_WAIT64);
      if (sbutton) break;
      foa <= False;
   endseq);
endfunction 

実行結果

図418.1に実行結果の動画を示します。

図%%.1
図418.1 オープニングアニメーション

左矢前のブログ 次のブログ右矢

オープニングアニメーション (2)

posted by sakurai on June 10, 2021 #417

データ構造

以下にデータ構造を示します。

図%%.1
図417.1 構造体配列

文字一つに対するパラメータは、図417.2のとおりです。

{sx, sy, dx, dy, w, h} = {パターンソースx, パターンソースy, デスティネーションx, デスティネーションy, 幅、高さ}

本来は図417.1及び図417.2のような構造体の配列としたかったのですが、bscでエラーとなるため、やむなく要素毎の配列に分解しました。構造体配列の実現は現在問い合わせ中です。

BSVコード

以下に分解したコードを示します。

UInt#(8) s1sx[19] = { 42, 50, 58, 77, 66, 42, 58, 74, 82, 42, 42, 90, 98,106, 58,114, 82,122, 66},
         s1sy[19] = {137,137,137,126,137,137,137,137,137,129,129,137,137,137,137,137,137,137,137},
         s1dx[19] = {112,120,128,136, 72, 80, 88, 96,104,112,120,128,136,144,152,160,168,176,184},
         s1dy[19] = { 68, 68, 68, 68, 93, 93, 93, 93, 93, 93, 93, 93, 93, 93, 93, 93, 93, 93, 93},
         s1w[19]  = {  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5},
         s1h[19]  = {  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7};

UInt#(8) s2sx[23] = { 42, 50, 58, 66, 74, 82, 90, 98,106, 90,114, 58, 82,122, 90, 82, 90, 82, 42, 52, 68, 51,  2},
         s2sy[23] = {145,145,145,145,145,145,145,145,145,145,145,145,145,145,145,162,162,145,145, 16, 64, 80, 80},
         s2dx[23] = { 48, 56, 64, 72, 80, 88,104,112,120,128,136,144,152,168,176,184,192,200,208, 76, 80, 79, 78},
         s2dy[23] = {125,125,125,125,125,125,125,125,125,125,125,125,125,125,125,125,125,125,125,142,155,169,184},
         s2w[23]  = {  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5, 16,  8, 11, 12},
         s2h[23]  = {  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  8,  8,  8};

UInt#(8) s3sx[40] = { 98,106, 42,114,122, 82, 90, 98,106,122, 98,  1,  9, 42,114,122, 82, 90, 90, 82, 98,106,114, 42, 82, 90, 98,106,114,122, 98,122,114, 42, 82, 90, 98,106,114,122},
         s3sy[40] = {162,162,129,162,162,170,170,170,170,162,162,154,154,129,170,170,178,178,170,170,178,178,178,129,186,186,186,186,186,186,178,178,178,129,186,186,186,186,186,186},
         s3dx[40] = { 96,104,112,120,128,136,144,152,160,168, 96,104,112,120,128,136,144,152,160,168, 96,104,112,120,128,136,144,152,160,168, 96,104,112,120,128,136,144,152,160,168},
         s3dy[40] = {142,142,142,142,142,142,142,142,142,142,156,156,156,156,156,156,156,156,156,156,170,170,170,170,170,170,170,170,170,170,184,184,184,184,184,184,184,184,184,184},
         s3w[40]  = {  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5,  5},
         s3h[40]  = {  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7,  7};

"SPACE INVADERS"の文字列を、最初は"SPACEINVADERS"とタイミングを空けずに場所を空けて表示していました。が、Youtubeを見ると、"SPACE△△INVADERS"と、空白(△)は場所だけでなくタイミングも空いているようだったので、文字列も"SPACE△△INVADERS"と設定しています。

もうひとつ注意点として、オープニングアニメーション中のウエイト中にSキー(Sボタン)が押された場合は直ちにゲームスタートとなることです。


左矢前のブログ 次のブログ右矢

オープニングアニメーション

posted by sakurai on June 9, 2021 #416

Youtube

この動画を観察すると、オープニングアニメーションの際に文字が一文字ずつ表示されているので、これを実装します。文字列は3つの部分から構成されています。

  • "PLAY SPACE INVADERS" --- 一文字ずつゆっくりと表示
  • "*SCORE ADVANCE TABLE *..." --- 一瞬で表示
  • "=? MYSTERY..." --- 一文字ずつゆっくりと表示

これを3つのカタマリとして、3つの表示ルーチンで表示します。最初と最後のルーチンは1文字表示するたびに8/60 sec(=133.3 msec)のウエイトを入れています。文字表示の間にsbuttonを見ているのは、スタートボタンにより、いつでもゲームを開始できるように割り込みを入れるためです。

function Stmt stringS1; // PLAY SPACE INVADERS
   return (seq
      for (str_idx <= 0; str_idx < 19; str_idx <=  str_idx + 1) seq
         copyArea(s1sx[str_idx], s1sy[str_idx], s1dx[str_idx], s1dy[str_idx], s1w[str_idx], s1h[str_idx]);
         wait_timer(`TICK_WAIT8);
         if (sbutton) break;
      endseq
   endseq);
endfunction

 function Stmt stringS2; // *SCORE ADVANCE TABLE* ...
    return (seq
      for (str_idx <= 0; str_idx < 23; str_idx <=  str_idx + 1) seq
         copyArea(s2sx[str_idx], s2sy[str_idx], s2dx[str_idx], s2dy[str_idx], s2w[str_idx], s2h[str_idx]);
      endseq
   endseq);
endfunction

function Stmt stringS3; // =? MYSTERY ...
   return (seq
      for (str_idx <= 0; str_idx < 40; str_idx <=  str_idx + 1) seq
         copyArea(s3sx[str_idx], s3sy[str_idx], s3dx[str_idx], s3dy[str_idx], s3w[str_idx], s3h[str_idx]);
         wait_timer(`TICK_WAIT8);
         if (sbutton) break;
      endseq
   endseq);
endfunction

左矢前のブログ 次のブログ右矢

ソフトブロック解説 (5)

posted by sakurai on June 8, 2021 #415

sound階層

サウンド階層には4つの独立したサウンドステートマシンの他、サウンドミキサーやパラシリモジュールが存在します。4つの独立したサウンドステートマシンにより、同時に4音の発声が可能となっています。

図%%.1
図415.1 sound階層

サウンドステートマシンサブ階層

図415.2にサウンドステートマシンサブ階層を示します。このステートマシンが4チャネルあります。

  • mkSoundFSMモジュール --- サウンドROMを読み出すステートマシンであり、それをミキサーに出力する (BSV⇒Verilog)
  • サウンドROM --- Waveフォーマットデータを格納するROM (Xilinx IP)

図%%.2
図415.2 soundFSM階層

ミキサー&パラシリモジュール

  • ミキサーモジュール --- 4個の独立したサウンドFSMからの音データを加算し重畳する (Verilog)
  • パラシリモジュール --- ミックス後のパラレルデータをシリアルに変換し、シリアルDACに出力する (Verilog)

図%%.3
図415.3 ミキサー&パラシリ階層

コマンドバッファサブ階層

最後にコマンドバッファサブ階層を示します。

  • コマンドバッファ(OneStage) --- GameFSMとSoundFSMの間でコマンドを受け渡す (Verilog)

図%%.4
図415.4 コマンドバッファ階層

左矢前のブログ 次のブログ右矢


ページ: