Posts Tagged with "Design"

既に発行済みのブログであっても適宜修正・追加することがあります。
We may make changes and additions to blogs already published.
posted by sakurai on January 18, 2024 #736

サウンドミキサーの検証

bsvでモジュールを開発するに際して、正解値を出力するverilogモジュールを作成しました。それぞれのモジュールを駆動するテストベンチはbsvのステートマシン合成で簡単に作成できます。verilogの世界で統合するために、テストベンチの上位にverilogの最上位階層を設けます。なぜならbsvの最上位であるテストベンチ階層にはクロックもリセットも存在しないため、verilogの最上位階層を設けてクロックとリセットをテストベンチに供給してやる必要があるためです。

ここまでは通常のBSV⇒verilogシミュレーション手法ですが、最上位階層を統合して一つにすれば、その中に2つのbsvから生成されたverilogのステートマシンとそれに接続されるverilogモジュールが配置されることになります。

表736.1 verilogとbsvの階層構造
Verilog
ファイル名 自モジュール名 子モジュール名
topVeri.v mkTop mkTbVeri
mkTbVeri.v
(自モジュール名と一致させる)
mkTbVeri mixer
mixer.v
(自モジュール名と一致させる)
mixer -
BSV⇒Verilog
bsvファイル名 生成verilog
ファイル名
自モジュール名 子モジュール名
--- top.v mkTop mkTb
TbMixer.bsv mkTb.v
(自モジュール名と一致するファイル名が生成)
mkTb mkMixer
Mixer.bsv mkMixer.v
(自モジュール名と一致するファイル名が生成)
mkMixer -

top階層からverilogモードによるC-c C-aで自動結合するには、自モジュール名とファイル名が一致する必要があります。

ここで最上位階層top.vを統合して一つにし、テストベンチを2つ配置します。これで正解値と比較してデバッグし以下のミキサーが完成しました。以下にコードを示します。

typedef Int#(8) Esound_t;
typedef Int#(16) Lsound_t;

interface Mixer_ifc;
   (* prefix="" *)
   method Lsound_t mout(
      Esound_t ch0,
      Esound_t ch1,
      Esound_t ch2,
      Esound_t ch3
      ); // output
   (* prefix="" *)
   method Bool soundon(
      Bool son0,
      Bool son1,
      Bool son2,
      Bool son3
      ); // output
endinterface

(* synthesize, always_enabled = "mout, soundon", no_default_clock, no_default_reset *)
module mkMixer(Mixer_ifc);
   function Bit#(9) repeatBit(Bit#(1) b);
      Bit#(9) result = 0;
        for (Integer i = 0; i < 9; i = i + 1) begin
           result = result << 1;
           result[0] = b;
        end
      return result;
   endfunction

   method Lsound_t mout(
      Esound_t ch0,
      Esound_t ch1,
      Esound_t ch2,
      Esound_t ch3
      ); // output
      let tmp0 = pack(ch0);
      let tmp1 = pack(ch1);
      let tmp2 = pack(ch2);
      let tmp3 = pack(ch3);
      Int#(16) itmp0 = unpack({repeatBit(~tmp0[7]),tmp0[6:0]});
      Int#(16) itmp1 = unpack({repeatBit(~tmp1[7]),tmp1[6:0]});
      Int#(16) itmp2 = unpack({repeatBit(~tmp2[7]),tmp2[6:0]});
      Int#(16) itmp3 = unpack({repeatBit(~tmp3[7]),tmp3[6:0]});
      Int#(16) tmp4 = itmp0 + itmp1 + itmp2 + itmp3;
      let tmp5 = tmp4 << 6;
      return tmp5;
   endmethod
  method Bool soundon(
      Bool son0,
      Bool son1,
      Bool son2,
      Bool son3
      ); // output
      let sdon = son0 || son1 || son2 || son3;
      return sdon;
   endmethod
endmodule   

まずげた履き符号から2の補数表現に変換するために、MSBの反転を行います。次にそれを符号拡張し16ビット整数とします。それらを加え合わせてスケーリングを行います。

シミュレーションの結果、4つのチャネルにどんな値が入力されてもオーバーフローやアンダーフローが起きないことを検証しています。


左矢前のブログ 次のブログ右矢

posted by sakurai on January 17, 2024 #735

サウンドミキサーの仕様

8bit符号無し$\dagger$のwave形式のサウンドを4多重して16bit符号付きに変換するサウンドミキサーを作成します。

  • 入力: 4チャンネルch0~3のwave(PCM, 11.025KHz、8bit、Mono、メタデータ無し)
  • 出力: 1チャンネルwave(PCM, 11.025KHz、16bit、Mono、メタデータ無し)

まず8bit符号無し数を加算操作を行うために8bitの2の補数表現に変換します。それにはゲタ8'h80を引き算する必要があります。しかしながら、引き算はコストが高いため、MSBの反転を行います。以下にそれで良いことをChatGPTに証明してもらいました。

8bitゲタ履き数を8bitの2の補数表現に変換するにはゲタを引き算するのが常道ですが、MSBの反転でも良いことを数学的に証明してください。

ChatGPT:

8ビットの「ゲタ履き数」(8'h80がゼロとされる特殊な表現)を8ビットの2の補数表現に変換する際に、MSBのみを反転する方法が等価であることを証明します。

特殊な表現

この表現では、8'h80がゼロとされます。したがって、この表現における任意の値 $V$は、標準的な2の補数表現における値 $V'$ に$128$(あるいは2進数で$1000\,0000_2 $)を加えたものとして表現されます。

つまり、$V = V' + 128$ または$ V = V' + 1000\,0000_2$。

2の補数表現への変換

通常の2の補数表現へ変換するには、特殊な表現から128($1000\,0000_2$)を引きます。

$V' = V - 128$または$V' = V - 1000\,0000_2$

MSBの反転

MSBを反転するということは、数値から$1000\,0000_2$(128)を引くか、加えることと等価です。なぜなら、MSBが1の場合は1を0に反転(-128する)、MSBが0の場合は0を1に反転(+128する)からです。

したがって、MSBの反転は以下の操作に等しいです:

  • MSBが1の場合(つまり $V$が128以上の場合)、$ V' = V - 1000\,0000_2 $。
  • MSBが0の場合(つまり $V$が127以下の場合)、$ V' = V + 1000\,0000_2$。

証明

MSBの反転が128の加算または減算と等価であることを考えると、この操作は常に特殊な表現を標準的な2の補数表現に変換します。

  • $V $が128以上の場合、MSBは1です。したがって、MSBを反転すると$ V - 128 $になります。これは2の補数表現における負の値に相当します。
  • $V $が127以下の場合、MSBは0です。したがって、MSBを反転すると $V + 128$になります。しかし、$ V $が127以下であるため、この加算はオーバーフローを引き起こし、結果として128を引くことになります。これは2の補数表現における正の値に相当します。

したがって、MSBのみを反転する操作は、特殊な8ビットの「ゲタ履き数」を標準的な8ビットの2の補数表現に変換するための有効な方法であることが証明されます。


$\dagger$:符号無しの定義は0以上の正の整数のみを扱うデータ型であるため、正しくはゲタ(8'h80)履き数


左矢前のブログ 次のブログ右矢

posted by sakurai on January 12, 2024 #732

Digilent Adeptの利用

Vivadoは開発システムのため、当然ビットストリームファイルを書き込むことが可能ですが、スタンダロンの書き込みツールがあります。それがDigilent Adeptです。これはFlashへの書き込みはできないようですが、SRAMにネットまたはUSB経由で書き込むことが可能です。

図%%.1
図732.1 Digilent Adept

左矢前のブログ 次のブログ右矢

posted by sakurai on January 11, 2024 #731

CmodA7toPMODボード

基本的には過去記事に対してボードをCmodA7ボードに変更したものです。 DigilentからCmodA7ボードを購入しました。このボードは(弊社開発の)PMOD変換ボードは必要となりますが、総額では安くSpace Invadersを動かすことができます。

図%%.1
図731.1 Cmod A7ボード

周辺インタフェースボード等

Space Invadersを動作させるには、CmodA7ボードの他に必要なものは以下のとおりです。

CmodA7-35ボードへの移植

Arty-35とFPGAアーキテクチャが同じであり、何も変更せずにそのままで動作しました。


左矢前のブログ 次のブログ右矢

Pongの開発 (18)

posted by sakurai on January 8, 2024 #730

Pongの完成

いままでのV5/V6の評価を含めて判明しているところまでをまとめます。

  • XADCのクロックが100MHz推奨と書かれていたのをそのまま100MHzを入力したが、最低入力周波数である8MHzまで落としたほうが良い。
  • XADCの設定画面においても8MHzとしたほうが良い。
  • V5/V6共に画面斜め縞が出る。これはXADCのクロックを停止しても出ている。
  • V5はさらにポップノイズ雑音が出る。
  • V6において+3.3Vに電解コンデンサー10uFを付加したところ、電源のノイズが消えた。電源ノイズが画面に表れていたようだ。

図730.1に完成したPongを示します。画面ではわかりにくいですが、若干縞模様が流れています。今まであまり意識しなくてもたまたま問題にならなかったのですが、VGAはアナログ信号のため、ノイズ対策をきっちりとやらないと今回のようになることが分かりました。

図%%.1
図730.1 Pong完成画面

左矢前のブログ 次のブログ右矢

CmodA7toPMODの評価

posted by sakurai on January 5, 2024 #729

CmodA7toPMODV5/6のノイズ評価

それぞれのボードに部品を実装してノイズ評価を行いました。その結果以下のようなことが判明しました。

  • 改版後のV6でもV5と同様にノイズが乗る。主にXADCに供給していた100MHzクロックが原因のようだ。
  • 100MHzを落として8MHz程度にすると正しく動作し、かつスイッチONでのブー音が消えた。

図%%.1
図729.1 XADC外部クロックを8MHzに
  • スペースインベーダーはXADCを使用していないので、どちらのボードでも画面縞は発生しない。
  • 一方、XADCクロックを8MHzに落としても画面の斜め縞はV5/V6両方で発生する。
  • XADCへの供給クロックを8MHzに落とした(上記)だけではなく、XADCの内部動作クロック設定も8MHzにしたが、斜め画面縞は変わらず原因不明。

図%%.2
図729.2 XADC外部クロックを8MHzに

実験によるフィードバック

V6基板においてVRを実際にADCに接続してJTAG経由で測定したところ、ADC入力電圧値は0.208~0.9804Vとなりました。LTSpiceの値とほぼ一致する結果です。

設計計算の変化点をマーカで表示します。ピンク前記事との変化点であり、ブルーは最終結果としてソースコードに入れる値です。

  • VRの全角度は300°
  • VRの有効角はパラメータ化し、開始角a[°] (デフォルト値a=105)、範囲b[°] (デフォルト値b=90)
  • VRの全角度の際のADC入力電圧は測定結果より、0.2~0.98[V]

図%%.3
図729.3 レベルダイア

再設計計算

これらより、ADC入力電圧は開始角$a$の値を$V_\text{a}$、終了角$a+b$の値を$V_\text{a+b}$として、 $\require{color} \definecolor{pink}{rgb}{1.0,0.8,1.0} \definecolor{blue}{rgb}{0.8,0.8,1.0}$

  • $V_\text{L}=\colorbox{pink}{0.2}$, $V_\text{H}=\colorbox{pink}{0.98}$
  • $V_\text{range}=V_\text{H}-V_\text{L}=\colorbox{pink}{0.78}$
  • $V_\text{a}=\frac{V_\text{range}}{300}a+V_\text{L}$
  • $V_\text{a+b}=\frac{V_\text{range}}{300}(a+b)+V_\text{L}$

次にAD変換後のデータDは入力全範囲0~1[V]を4096分割する。開始角の値を$D_\text{a}$、終了角の値を$D_\text{a+b}$として

  • $D_\text{a}=4096V_\text{a}=\frac{4096V_\text{range}}{300}a+4096V_\text{L}=\colorbox{pink}{10.65}a+\colorbox{pink}{819.2}$
  • $D_\text{a+b}=4096V_\text{a+b}=\colorbox{pink}{10.65}(a+b)+\colorbox{pink}{819.2}$
  • $D_\text{range}=D_\text{a+b}-D_\text{a}=\colorbox{pink}{10.65}b$

一方、y座標の制約は以下のとおりであり、$y_\text{top}$(上限$y_\text{max}$+5%)と$y_\text{bottom}$(下限$y_\text{min}$-5%)の値でクリッピング。

  • $y_\text{min}=\colorbox{pink}{44}, y_\text{max}=\colorbox{pink}{219}, Paddle_\text{h}=\colorbox{pink}{26}$
  • $y_\text{bottom}=y_\text{min}-7=\colorbox{pink}{37}, y_\text{top}=(y_\text{max}-Paddle_\text{h})+7=\colorbox{pink}{200}$
  • $y_\text{range}=y_\text{top}-y_\text{bottom}=200-37=\colorbox{pink}{163}$

これらからy座標を求めると、ADCのデータを$D$とすれば、

  • $y=\frac{y_\text{range}}{D_\text{range}}(D-D_\text{a})+y_\text{bottom}=\frac{\colorbox{pink}{163}}{\colorbox{pink}{10.65}b}D-\frac{\colorbox{pink}{163}}{b}a-\frac{\colorbox{pink}{163}\cdot\colorbox{pink}{819.2}}{\colorbox{pink}{10.65}b}+\colorbox{pink}{37}\\ =\frac{\colorbox{pink}{244.9}}{b\ll4}D-\frac{\colorbox{pink}{163}}{b}a-\frac{\colorbox{pink}{12538}}{b}+\colorbox{pink}{37}=\frac{\colorbox{blue}{245}D-\colorbox{blue}{2608}a-\colorbox{blue}{200615}}{b\ll4}+\colorbox{blue}{37}$

y式中のシフトは固定小数点演算を行うために分母分子を16倍しているものです。さらに最小値$D_\text{a}$、最大値$D_\text{a+b}$で入力ADCデータのクリッピングを行います。

  • $D_\text{a}=\colorbox{pink}{10.65}a+\colorbox{pink}{819.2}=(\colorbox{blue}{170}a+\colorbox{blue}{13107})\gg4$
  • $D_\text{a+b}=\colorbox{pink}{10.65}(a+b)+\colorbox{pink}{819.2}=(\colorbox{blue}{170}(a+b)+\colorbox{blue}{13107})\gg4$

以上より、完成したBSVコードの変更点のみを以下に示します。

クリッピング値計算部分

            // 座標の下限-5%と上限+5%に対応するADC値の計算
            Bit#(20) adcMinValue = (170 * extendedA + 13107) >>4;     // Min = 10.65A + 819.2
            Bit#(20) adcMaxValue = (170 * (extendedA + extendedB) + 13107) >> 4; // Max = 10.65(A+B) + 819.2

ADCからy値計算部分

            Bit#(24) coordinate = ((zeroExtend(clippedAdcValue) * 245
                   - zeroExtend(extendedA) * 2608 - 200615 + 915)
                   / zeroExtend(extendedB) >> 4) + 37;

+915は途中計算がアンダーフローして値が最大値にならないように調整するものです。この例のように小数点演算において0をわずかに割った場合、負数は正の最大値となるため、非常に大きな誤差が起こります。

FSM中でのパドルの可動範囲$y_\text{min}=\colorbox{blue}{44}$、$y_\text{max}-Paddle_\text{h} + 1=219-26 + 1=\colorbox{blue}{194}$でクリッピングすることを忘れないように注意します。


左矢前のブログ 次のブログ右矢

posted by sakurai on January 4, 2024 #728

BSVに簡単に変換できるからC/C++が優位とは論理が反対です。それはC/C++の優位性が無いことを示すものです。また合成できない機能についてはBSVに関係のないC/C++の欠点です。

逆です。それらはC/C++の優位性が無いことを表すものです。もともとポインタや動的メモリ管理等は合成できないのがC/C++の欠点です。なのでC/C++の優位性はそれらを除く逐次処理です。ところがその部分は自動ステートマシン合成を用いて容易にBSVに移植できるので、結論としてC/C++の優位性はほぼなく、BSVの優位性だけが示されるのではないですか?

ChatGPT
ご指摘の通り、C/C++のハードウェア合成における逐次処理の部分がBSVに容易に移植できる場合、C/C++の特有の優位性は大きく減少する可能性があります。特に、以下の点を考慮すると、BSVの優位性がより顕著になります:

  1. ハードウェア特有の機能:
    BSVはハードウェア設計のために特化された言語であり、ハードウェアの並行処理、同期、タイミング制御などの機能を直接的に表現できます。

  2. 自動ステートマシンの合成:
    BSVはC/C++の逐次的なコードをステートマシンに変換する能力があり、これにより、C/C++の逐次処理の優位性が相対的に低下します。

  3. 高レベルの抽象化:
    BSVはハードウェアの動作をより高い抽象化レベルで記述でき、複雑なハードウェアロジックの実装を容易にします。

C/C++によるハードウェア合成の主な利点の一つである既存のコードベースの再利用は、ポインタや動的メモリ割り当てなどの合成不可能な特性を除けば、BSVによってカバーできる範囲が広がります。結果として、特に逐次処理に関しては、BSVによる合成がより効率的かつ適切な選択肢となる可能性が高まります。

これにより、ハードウェア設計におけるBSVの優位性が強調され、C/C++の利用が必要とされるケースは限定的なものになるかもしれません。


所感:
ChatGPTはこのように平気で誤った論理的結論を導出することがあることに注意が必要です。一方、考え方の幅を広げて貰うには最適なことからそのような目的で使うべきであり、結論を導いて貰うべきではありません。

BSVとC/C++の比較の結論としては、技術的にはBSVのほうが圧倒的に有利であり、一方非技術的には、例えば学習コスト、ユーザベース、ツールチェイン等の面でC/C++が有利と理解しました。


左矢前のブログ 次のブログ右矢

posted by sakurai on December 29, 2023 #727

C/C++の優位性について議論しようとしたら、むしろ欠点に話が向いてしまいました。

それらはむしろC/C++の欠点なのではないですか?ポインタや動的メモリ管理などはそもそも合成できません。従ってBSVの問題ではありません。私が指摘したのは、C/C++が有利だという点についても簡単にBSVに変換可能という点です。

ChatGPT
おっしゃる通りです。提案された方法によって、C/C++コードをBSVなどのハードウェア記述言語に比較的容易に変換することが可能であるという点は、C/C++の優位性を示しています。特に、以下の点でその優位性が強調されます:

  1. 簡単な変換:
    C/C++の制御構造(例えばfor、while、if-else文)は、比較的簡単にBSVの制御構造に変換できます。これにより、既存のソフトウェアロジックをハードウェア設計に適応させる際の手間が減ります。

  2. プログラミングのアクセシビリティ:
    既にC/C++で開発されたロジックを、ハードウェアの記述に活用できるため、ソフトウェア開発者にとってはハードウェア設計のアクセシビリティが向上します。

  3. プロトタイピングの迅速化:
    C/C++のコードをBSVに変換することで、ハードウェアプロトタイピングプロセスを迅速化できる場合があります。特に、既存のアルゴリズムをハードウェアに迅速に実装したい場合に有効です。

ただし、ポインタ操作や動的メモリ割り当て(mallocなど)のようなC/C++の特定の特性は、直接的なハードウェア合成には適しておらず、これらを使用するコードの部分は、ハードウェア記述に変換する際に適切な代替手段を考慮する必要があります。従って、C/C++からBSVへの変換は、ハードウェア設計におけるC/C++の特性や限界を理解した上で行う必要があります。

結論として、C/C++のコードをBSVなどのハードウェア記述言語に変換することは、特定の条件下では有効なアプローチであり、C/C++の利用に関連する一定の優位性を提供します。ただし、このプロセスはハードウェア設計の特性を十分に理解し、C/C++の特定の制約を考慮することが重要です。


左矢前のブログ 次のブログ右矢

posted by sakurai on December 28, 2023 #726

過去記事の続きです。BSVの優位性は言うまでもないので、C/C++の優位性について話を絞ります。やはり既存のコードベース再利用が主のようです。

C/C++の優位性は既存のCコードの再利用が主ですが、それも先に述べた方法で容易に自動ステートマシンを合成可能です。この観点からはどうでしょうか?

ChatGPT
C/C++コードをBSVなどのハードウェア記述言語に移植する際のプロセスを指摘していただいた通り、特定の構文変更によって自動的にステートマシンに合成することは可能です。しかし、このアプローチにはいくつかの留意点があります:

C/C++からBSVへの移植の課題

  1. 直接変換の限界:
    単純な構文変更による自動変換は、基本的な制御フローにおいては有効かもしれませんが、C/C++の高度な機能や特定のアルゴリズムの実装では適用が難しい場合があります。特に、ポインタ操作、動的メモリ管理、複雑なデータ構造などの特性はハードウェア記述に直接対応しないため、これらの要素を含むコードは追加の手作業や再設計が必要になる可能性があります。

  2. ハードウェア特有の最適化の必要性:
    ハードウェア設計においては、リソースの利用効率、タイミングの最適化、並行処理の管理など、ハードウェア特有の考慮事項が重要になります。これらの要素はC/C++のコードには通常含まれておらず、ハードウェア合成において適切に扱う必要があります。

  3. ハードウェアとソフトウェアのパラダイムの違い:
    ソフトウェアプログラミングとハードウェア記述は根本的に異なるパラダイムです。C/C++のコードをBSVに移植する際には、このパラダイムの違いを理解し、ハードウェアの性能と機能に適した形にコードを再構築することが重要です。

結論

既存のC/C++コードの再利用は、一定の範囲内で有効なアプローチですが、ハードウェア設計における全てのニーズに対応するわけではありません。特に、ハードウェア特有の要件や最適化を考慮した再設計や調整が必要な場合があります。C/C++からBSVへの移植は、ハードウェア設計の基本的な概念と特性を理解した上で行う必要があり、プロセス全体が単純な構文変更以上のものであることを認識することが重要です。


左矢前のブログ 次のブログ右矢

posted by sakurai on December 22, 2023 #722

やはり既存のコードベースの再利用が主体のようです。

C/C++は逐次処理で書きます。たとえばfor, while if else など。ところがBSVでもこれらは使用でき、容易にFSMに合成できます。とするとC/C++の優位性はあまりないのでは?

ChatGPT
C/C++によるハードウェア合成とBSV(Bluespec SystemVerilog)による合成の間には、確かにいくつかの重要な違いがありますが、C/C++の利用には依然として特定の状況での優位性が存在します。これらは主に、開発のアクセシビリティ、既存のソフトウェアリソースの活用、および特定の種類のハードウェアプロトタイピングに関連しています。

C/C++によるハードウェア合成の優位性

  1. 既存のソフトウェアリソースの再利用:
    C/C++を使用する主な利点の一つは、既存の豊富なソフトウェアコードベースとアルゴリズムをハードウェア設計に再利用できる点です。特に、ソフトウェアで実装されている複雑なアルゴリズムをハードウェアに迅速に移植したい場合に有効です。

  2. ソフトウェア開発者のアクセシビリティ:
    C/C++は多くのソフトウェアエンジニアにとって馴染み深く、ハードウェア設計の専門知識が限られた開発者でもアクセスしやすいです。このため、ソフトウェア開発チームがハードウェアのプロトタイピングや概念実証に関わる場合に便利です。

  3. 迅速なプロトタイピング:
    C/C++ベースの合成ツールを使用することで、ソフトウェア定義のアルゴリズムを迅速にハードウェアに変換し、プロトタイピングとテストを素早く行うことができます。

BSVによる合成の特性

BSVは並行処理とハードウェアの特性を直接的にモデル化するための強力な機能を提供します。これにより、複雑なハードウェアロジックや高度なハードウェア設計をより効果的に扱えます。

BSVは、ハードウェアのタイミング制御、状態管理、並行処理などを直接的に記述することが可能で、特に複雑なハードウェアシステムの設計に適しています。

結論

BSVが提供するハードウェア記述の能力は、複雑なハードウェア設計において強力な利点を提供しますが、C/C++による合成は特定のシナリオ、特に既存のソフトウェアリソースの活用や迅速なプロトタイピングが求められる場合に依然として有用です。しかし、C/C++による合成はハードウェア設計の精密な制御や最適化においては限界があるため、ハードウェアの複雑さが増すにつれてBSVのような専用のハードウェア記述言語の優位性が顕著になります。


左矢前のブログ 次のブログ右矢


ページ: