Posts Tagged with "AI"

既に発行済みのブログであっても適宜修正・追加することがあります。
We may make changes and additions to blogs already published.

Pongと強化学習 (9)

posted by sakurai on April 26, 2024 #785

一旦設計が完成した後のデバッグについて聞いてみます。


FPGA上で強化学習のアルゴリズム、特にQ学習やDQN(Deep Q-Network)を実装してデバッグする際、いくつかの重要な指標に注目することが効果的です。これらの指標を監視することで、Q値が収束しているかどうか、そしてエージェントのパフォーマンスが改善しているかどうかを評価することができます。

Q値の収束の確認

Q値が収束したかどうかを判断するための一般的な方法は、Q値の変化を時間経過と共に監視することです。特定の状態と行動のペアに対するQ値が、新しい経験から得られる情報を反映してもほとんど変化しなくなった場合、Q値は収束していると考えられます。この監視は、FPGA上で特定のレジスタやメモリブロックにQ値を格納し、それを周期的に読み出して変化を確認することで行います。

パフォーマンスの改善の確認

エージェントのパフォーマンスが改善しているかどうかを評価するには、以下の指標が有効です。

  • 総報酬の増加: エピソード(または一定の試行回数)ごとの総報酬を計算し、これが時間とともに増加するかを監視します。総報酬が増加していれば、エージェントのパフォーマンスが改善していると考えられます。
  • 誤差関数の減少: DQNのようなニューラルネットワークを使用する場合、予測されるQ値とターゲットQ値との間の誤差関数(例えば、平均二乗誤差)を監視します。この誤差が減少することは、学習が進んでいることの指標になります。

実際のデバッグプロセス

FPGA上での実際のデバッグプロセスには、以下のステップが含まれることが多いです。

  1. ログ取得: FPGAのデザインにログ取得機能を組み込み、Q値の更新、選択された行動、得られた報酬などの重要な情報を記録します。
  2. シミュレーション: FPGAデザインのシミュレーションを行い、特定のシナリオでの挙動を確認します。これにより、理論上の挙動と実際の挙動との間に食い違いがないかを確認できます。
  3. モニタリングツールの使用: FPGA開発環境には、通常、レジスタの値をリアルタイムで監視するツールが含まれています。これらのツールを使用して、学習プロセス中のQ値の変化やエージェントの行動選択を監視します。

結論

Q値が収束したかどうか、そして良い値に収束したかどうかを判断するには、Q値の変化の監視、総報酬の増加、および誤差関数の減少などの指標を使用します。FPGA上でこれらのプロセスを実装し監視することにより、エージェントの学習進度を評価し、必要に応じてアルゴリズムの調整を行うことができます。


左矢前のブログ 次のブログ右矢

Pongと強化学習 (8)

posted by sakurai on April 25, 2024 #784

強化学習が全ての場合を計算しない点について深堀りします。


将来の報酬が最大になる行動を選択する過程で、全ての可能性を事前に計算することは非現実的(場合の数が爆発する)です。この課題に対処するために、強化学習では「探索(Exploration)」と「活用(Exploitation)」のバランスを取りながら、効率的に最適な行動方針を学習していきます。

探索と活用

  • 探索(Exploration)は、エージェントがまだ十分に試していない行動を積極的に取ることで、未知の報酬を発見しようとするプロセスです。これにより、エージェントはより多くの情報を収集し、将来の報酬が最大になる可能性のある行動を見つけ出すことができます。
  • 活用(Exploitation)は、これまでの経験から得られた知識を利用して、現時点で最も高い報酬をもたらすと予測される行動を選択するプロセスです。

Q値の推定と更新

強化学習では、各状態と行動のペアに対するQ値(行動価値関数)を推定し、このQ値を基に行動選択を行います。初期段階では、Q値は不確かな推定値からスタートしますが、エージェントが行動を取り、報酬を受け取ることで、次第に正確な値に更新されていきます。このプロセスは以下のステップに従います:

  1. 初期化:Q値を適当な初期値(例えば、全て0)で初期化します。
  2. 行動選択:探索と活用のバランスを取りながら行動を選択します。例えば、ε-greedyアルゴリズムでは、一定の確率εでランダムな行動を選択し(探索)、それ以外の場合は現在のQ値から最も高い報酬をもたらす行動を選択します(活用)。
  3. Q値の更新:行動を取り、報酬を受け取った後、受け取った報酬と将来の報酬の見込みを反映してQ値を更新します。この更新は、選択した行動が将来どれだけ有益であるかをよりよく反映するように、Q値を調整します。

このようにして、エージェントは全ての可能性を事前に計算することなく、試行錯誤を通じて効率的に最適な行動方針を学習します。$maxQ$の推定値は、過去の経験とそれに基づく学習から導かれるため、将来の報酬が最大になる行動を選択するための近似値として機能します。この学習プロセスは、エージェントが多様な状況を経験し、それに応じて行動価値関数を進化させることで、徐々に最適化されていきます。


左矢前のブログ 次のブログ右矢

Pongと強化学習 (7)

posted by sakurai on April 24, 2024 #783

割引率$\gamma$や$max Q$について深堀りします。


強化学習における $\gamma$ (ガンマ) とは割引率(discount factor)を表し、将来の報酬を現在の価値に割り引くために使用されます。$max$ は次の状態で可能な全ての行動に対するQ値の中から最大値を選ぶ操作を示しています。この概念は、特にQ学習やDQN(Deep Q-Network)のような強化学習アルゴリズムにおいて重要です。

$\gamma$(割引率)

  • 割引率 $\gamma$ は、0から1の間の値を取ります。この値は、将来の報酬をどの程度現在の価値に割り引いて考慮するかを示します。
  • $\gamma = 0$ の場合、エージェントは将来の報酬を全く考慮せず、即時の報酬のみを最大化しようとします。
  • $\gamma$ が1に近づくにつれて、エージェントはより遠い未来の報酬も重視するようになります。つまり、長期的な報酬を最大化しようとする戦略を採用します。
  • $\gamma$ の値は、具体的なアプリケーションや目標に応じて調整されます。一般に、長期的な報酬を重視するタスクでは高い値が選ばれます。

$max Q$(最大Q値)

  • $max Q(s', a')$ は、次の状態 $s'$ において取り得る全ての行動 $a'$ から得られるQ値の中で最大のものを指します。これは将来の行動がもたらす期待報酬の最大値を示しており、現在の行動選択の価値を決定するのに使用されます。

Q値の計算と更新

Q値の更新式は以下のように表されます:

$$Q(s, a) \leftarrow Q(s, a) + \alpha [r + \gamma \max_{a'} Q(s', a') - Q(s, a)]$$

ここで、

  • $Q(s, a)$ は現在の状態 $s$ で行動 $a$ を取ったときのQ値です。
  • $\alpha$ は学習率で、Q値の更新の際に新しい情報をどの程度受け入れるかを決定します。
  • $r$ は行動 $a$ を取った結果として直接得られる報酬です。
  • $\gamma \max_{a'} Q(s', a')$ は、次の状態 $s'$ における最大のQ値に割引率 $\gamma$ を乗じたもので、将来得られる報酬の現在価値を示します。

この更新式は、エージェントが行動を選択し、その結果として得られる報酬と次の状態を観察することで、Q値を繰り返し更新していくことにより、最適な行動方針(ポリシー)を学習していきます。


左矢前のブログ 次のブログ右矢

Pongと強化学習 (6)

posted by sakurai on April 23, 2024 #782

前記事の続きです。報酬関数$R()$について深堀りします。


強化学習における報酬関数$ R $は、エージェントの行動が目的を達成する上でどれだけ良いかを数値で評価します。Pongゲームのような環境では、エージェント(コンピュータのパドル)の目的はボールをできるだけ長くプレイエリア内に保つことです。したがって、報酬関数はこの目的を達成するためにエージェントの行動を指導するように設計されます。

Pongゲームにおける報酬関数の設計

Pongゲームでは、報酬関数は以下のように単純に設定することが一般的です:

  • ボールを打ち返す:エージェントがボールを打ち返すことに成功した場合、正の報酬を与えます。これはエージェントが目的に対して正しい行動を取ったことを強化します。
  • ボールを落とす:エージェントがボールを落とした場合、負の報酬(ペナルティ)を与えます。これはエージェントに対して目的達成に向けて不適切な行動を取ったことを示します。

報酬の具体例

  • 打ち返した時:+1ポイント
  • 落とした時:-1ポイント

考慮すべき要素

  • 即時報酬と遅延報酬:Pongゲームでは、ボールを打ち返すことが即時の成功と見なされ、即時報酬を与えることができます。しかし、より長い視点で戦略を学習するために、複数回の打ち返しや特定の戦略的な行動に対して追加の報酬を与えることも考えられます。
  • 報酬のバランス:報酬の大きさが学習過程に大きな影響を与えます。過大な負の報酬はエージェントを過度に消極的にする可能性があり、過小な負の報酬は必要な回避行動を学習しない原因になるかもしれません。適切なバランスを見つけることが重要です。

報酬関数の調整

実際の実装では、基本的な報酬設定から開始して、エージェントの学習進度やパフォーマンスを観察しながら微調整を行うことが一般的です。例えば、ボールを長時間保持することに対して追加の報酬を与えることで、より持続的なプレイを促すことができます。

エージェントの学習目標に合わせて、報酬関数を適切に設計し調整することが、強化学習における成功の鍵となります。


左矢前のブログ 次のブログ右矢

Pongと強化学習 (5)

posted by sakurai on April 4, 2024 #769

状態$s$や行動$a$について深堀りします。


DQN(Deep Q-Network)アプローチにおいて、状態$ s $と行動$ a $は通常、問題の性質に応じて様々な形式で表現されます。Pongゲームの文脈でこれらを具体的に考えてみましょう。

状態$s$の形式

Pongゲームにおける状態は、ゲーム画面自体または画面から抽出された特徴によって表されます。FPGA実装のために、CNNを使用して画面データから特徴を抽出すると述べました。したがって、状態$ s $はCNNを通過した後の特徴ベクトルとして表されることが多いです。

  • 画面データ:元の画面データは256x256のON/OFF(1ビット)データです。これをCNNに入力します。
  • 特徴ベクトル:CNNからの出力は、画面データを圧縮した特徴を含むベクトルです。このベクトルのサイズはCNNの構造に依存します。たとえば、最終的な全結合層の出力が100要素のベクトルであれば、状態$ s $は100要素のベクトルとなります。

行動$a$の形式

Pongゲームでは、行動は比較的単純です。エージェント(ここではコンピュータのパドル)が取りうる行動は、「上に移動」、「移動なし」、「下に移動」の3つです。したがって、行動$ a $はこれら3つの選択肢の中から1つを選ぶことを意味し、通常は離散的な値で表現されます。

  • 行動の選択肢: 3(上に移動=0、移動なし=1、下に移動=2などのようにエンコード可能)
  • 行動$ a $は、選択された行動を表すスカラー値(たとえば、0、1、2)として表現されることが多いです。

精度と表現

  • 状態ベクトルの精度:CNNからの出力である特徴ベクトルの各要素は、固定小数点数または浮動小数点数で表現される可能性があります。ビット数(精度)は、FPGAのリソースと精度要件に基づいて選択されます。一般的には16ビットや32ビット固定小数点数が使用されることが多いです。
  • 行動の精度:行動$ a $は離散的な選択肢を表すため、多くの場合、単純な整数値(例えば、2ビットで3つの行動を表すことができます)で十分です。

このように、DQNアプローチにおいては状態$ s $と行動$ a $の具体的な形式は問題の性質によって異なりますが、Pongゲームの場合は状態がCNNによって抽出された特徴ベクトル、行動がエージェントの選択可能な動作を表す離散値となります。


次記事に続きます。


左矢前のブログ 次のブログ右矢

Pongと強化学習 (4)

posted by sakurai on April 3, 2024 #768

Q値について深堀りします。


DQN(Deep Q-Network)におけるQ値は、環境の状態$ s $に対して取り得る各行動$ a $の価値を評価するものです。Pongゲームのようなシンプルな環境では、エージェント(ここではコンピューターのパドル)が取りうる行動が限られています(例えば、上に移動、移動なし、下に移動)。したがって、Q値はそれぞれの行動に対する期待報酬を表すベクトルとして表現されます。

このベクトルは、取りうる行動の数と同じ要素数を持ちます。Pongゲームのケースでは、エージェントが取り得る行動が3つあるため、Q値は3要素のベクトルになります。

Q値ベクトルのビット数

Q値のビット数は、使用する数値表現(固定小数点数表現や浮動小数点数表現)に依存します。FPGA上での実装を考慮すると、リソースを効率的に使用するためには固定小数点数表現を採用することが一般的です。固定小数点数のビット数は、必要な精度と範囲に応じて選択されます。たとえば、16ビット、32ビットなどが考えられますが、実際の選択は使用するFPGAのリソース、演算の精度要件、およびシステム全体のパフォーマンス目標に基づいて決定されます。

要素数

  • 行動数: 3(上に移動、移動なし、下に移動)

ビット数(例)

  • 固定小数点: 16ビットまたは32ビット
    • 例えば、16ビット固定小数点数を使用する場合、それぞれのQ値は16ビットで表現されます。この場合、Q値ベクトルは合計で48ビット(3要素 x 16ビット)になります。
    • 32ビット固定小数点数を使用する場合、Q値ベクトルは合計で96ビット(3要素 x 32ビット)になります。

まとめ

PongゲームにおけるQ値は、エージェントが取りうる3つの行動に対する期待報酬を表す3要素のベクトルです。ビット数は、FPGAのリソース、演算の精度要件、およびパフォーマンス目標に応じて選択される固定小数点表現に依存します。リソースを効率的に使用しつつ、適切な精度を確保するために、ビット数を慎重に選定する必要があります。


左矢前のブログ 次のブログ右矢

Pongと強化学習 (3)

posted by sakurai on March 29, 2024 #765

DQNとCNNの構造とベルマン方程式について深堀りします。


DQN(Deep Q-Network)を使用してFPGA上でPongゲームの強化学習を実装する場合、まずは画面データ(256x256、ON/OFF)をCNNを通じて効率的に情報を圧縮し、その後の強化学習アルゴリズムで利用できるようにする必要があります。CNNを用いて画面データから特徴を抽出し、その特徴を基にDQNが行動選択を行います。

情報の圧縮

  1. 畳み込み層:画面のサイズが大きいため、最初の畳み込み層で画像サイズを大幅に削減することが効果的です。例えば、最初の畳み込み層でストライドを大きく取り(例:4または5)、カーネルサイズを(8x8)や(5x5)に設定します。これにより、画像の次元を減らしながらも、ゲーム内の主要な特徴(ボールやパドルの位置)を捉えることができます。

  2. プーリング層:畳み込み層の後にプーリング層(例:最大プーリング)を挿入して、さらに特徴マップのサイズを減らします。これは、重要な特徴を保持しながらデータ量を減らすのに有効です。

  3. 複数の畳み込み層とプーリング層の使用:複数の畳み込み層とプーリング層を交互に配置することで、より高度な特徴を抽出しつつ、データの次元を効率的に減少させることができます。

報酬の設定

DQNでは、各行動の選択に対して報酬を与えることで学習を進めます。Pongゲームにおける報酬の設定は次のようになります:

  • 加点:ボールを打ち返した時に正の報酬を与えます。これはエージェントがボールを落とさずに続ける動機付けになります。
  • 減点:ボールを落とした時に負の報酬(または大きな負の報酬)を与えます。これにより、エージェントはボールを落とさないように学習します。

CNNの構造

一般的なCNNの構造は以下のようになります:

  1. 入力層:256x256の画像データ(ON/OFF)。
  2. 畳み込み層:活性化関数(ReLUなど)、カーネルサイズ(例:5x5)、ストライド(例:4)。
  3. プーリング層:最大プーリングを使用し、特徴マップのサイズをさらに削減。
  4. 全結合層:畳み込み層とプーリング層を通過した後の特徴をもとに、行動価値(Q値)を出力します。

ベルマン方程式の具体化

DQNでは、ベルマン方程式を用いてQ値(行動価値関数)を更新します。具体的には、以下の式を用います:

$$Q(s,a) = R(s,a) + \gamma \max_{a'} Q(s', a')$$

ここで、$s$は現在の状態、$a$は取られた行動、$R(s,a)$はその行動による報酬、$\gamma$は割引率(将来の報酬の重要性を表す)、$s'$は次の状態、$a'$は次の行動です。この式により、最適な行動選択を学習します。

FPGA上でこれらを実装する際は、演算の効率化、メモリ使用の最適化、およびリアルタイム性の確保に特に注意が必要です。


左矢前のブログ 次のブログ右矢

Pongと強化学習 (2)

posted by sakurai on March 28, 2024 #764

FPGAでのモデル作成について聞いてみました。


FPGAで強化学習を含むPongゲームを実装するプロジェクトは非常に高度で興味深いものです。既にゲームロジックとVRAMを実装しており、次のステップはCNN(畳み込みニューラルネットワーク)を含む強化学習システムをFPGAに統合することです。FPGA上でCNNを動作させるためには、ハードウェアのリソースを効率的に使用しつつ、データフローを最適化する必要があります。

FPGAモデルの設計

  1. CNNモデルの選定

    • FPGAに実装するためには、リソースの消費が少なく、効率的に動作する小規模なCNNモデルを選ぶ必要があります。層の数、フィルターのサイズ、活性化関数などを検討してください。
  2. データフローの設計

    • VRAMからCNNへのデータフローはDMA(Direct Memory Access)を通じて行います。DMA設定を行い、VRAMの右側のポートからCNNへ画像データを直接転送できるように設計します。これにより、CPUの介入なしに高速にデータを転送できます。
  3. CNNのハードウェア実装

    • CNNの各層(畳み込み層、プーリング層、全結合層など)をFPGA上に実装します。畳み込み層では、効率的なマルチプライヤーとアキュムレーターを用いて、畳み込み演算を行います。
    • パラメータの量子化や固定小数点演算の使用を検討して、リソース使用量を削減し、演算速度を向上させます。
  4. 強化学習アルゴリズムの統合

    • CNNを利用して状態(画像データ)から行動を決定する強化学習アルゴリズムを実装します。エージェント(コンピュータのパドル)の報酬システムを定義し、学習プロセスを実装します。
  5. ゲームFSMとのインタフェース

    • 強化学習システムからの出力(行動)をゲームFSMに適切に伝えるインターフェースを実装します。これにより、エージェントがゲーム内でパドルを動かすことができます。

実装上の考慮事項

  • リソース利用:FPGAのリソース(ロジックブロック、メモリブロック、DSPスライスなど)を効率的に使用し、オーバーフローしないように注意してください。
  • 性能と精度:FPGA上での演算速度と精度のバランスを取りながら、モデルの調整を行います。特に、量子化や固定小数点演算を使用する場合は、精度の損失を最小限に抑えるようにします。
  • テストとデバッグ:シミュレーションツールを使用して設計をテストし、期待どおりに動作することを確認します。また、実際のFPGAボードでのテストも行い、システムの動作を検証します。

FPGAでのCNNと強化学習の実装は複雑ですが、計画的にアプローチすれば成功させることができます。上記のステップと考慮事項を参考にして、プロジェクトの設計と実装を進めてください。


(注)今後このような、ChatGPTの返答末尾の「マニュアル等を参考にして~進めてください。」のような文言は言わずもがなであり省略します。


左矢前のブログ 次のブログ右矢

Pongと強化学習

posted by sakurai on March 27, 2024 #763

ChatGPTに指導してもらいながら、FPGAにおけるPongの強化学習の設計を進めて行きます。


Pongゲームで深層学習と強化学習を用いるプロジェクトは面白い試みですね。強化学習を使って、コンピューターがゲーム内で最適な行動を学習するように設計することができます。このプロセスでは、主に環境(この場合はPongゲーム)、エージェント(コンピューターのパドル)、行動(パドルを上に移動、停止、下に移動)、報酬(ボールを打ち返した場合の報酬、落とした場合のペナルティ)の4つの要素を定義します。

強化学習アルゴリズムの基本的な構造とベルマン方程式の適用方法について説明します。

強化学習の基本コンセプト

  • 環境:Pongゲームの画面(256x256ピクセル)です。この画面は、エージェントに現在のゲームの状態を提供します。
  • エージェント:コンピューターのパドルです。状態(ゲームの画面)に基づいて行動を選択します。
  • 行動:エージェントが取りうる行動は、パドルを上に移動、停止、下に移動の3つです。
  • 報酬:ボールを打ち返すと正の報酬を、ボールを落とすと負の報酬(ペナルティ)を受け取ります。

ベルマン方程式

強化学習の基本的なアイデアは、現在の状態から取りうる全ての行動に対して、将来得られる報酬の合計が最大になるようなポリシー(方針)を見つけ出すことです。このとき、ベルマン方程式が重要な役割を果たします。

ベルマン方程式は、ある状態の価値を、その状態から取りうる行動によって得られる即時報酬と、その後の状態の価値の割引和として定義します。数学的には以下のように表されます:

$$V(s) = \max_a \left( R(s,a) + \gamma \sum_{s'} P(s'|s,a) V(s') \right)$$

ここで、

  • $V(s)$ は状態 $s$ の価値です。
  • $R(s,a)$ は状態 $s$ で行動 $a$ を取ったときの即時報酬です。
  • $\gamma$ は割引率で、将来の報酬をどれだけ重視するかを示します。
  • $P(s'|s,a)$ は行動$a$を取ったときに状態$s$から状態 $s'$ へ遷移する確率です。
  • $V(s')$ は状態 $s'$ の価値です。

実装アプローチ

  1. 環境の構築:Pongのゲーム環境をシミュレートするか、既存の環境を使用します。OpenAI Gymなどのフレームワークは、Pongのようなゲーム環境を提供しており、強化学習アルゴリズムの開発とテストに適しています。

  2. ディープラーニングモデルの設計:エージェントの行動選択を支援するために、ニューラルネットワークを用いてゲームの状態(画面のピクセルデータ)から価値または行動を予測します。Convolutional Neural Networks (CNN) は画像データから特徴を抽出するのに適しているため、この用途によく使用されます。

  3. 強化学習アルゴリズムの選択と適用:Q-learning、Deep Q-Networks (DQN)、Policy Gradients、Actor-Criticなど、多くの強化学習アルゴリズムがあります。Pongのような比較的単純なゲームには、DQNがよく使用されます。

  4. トレーニングと評価:エージェントをトレーニングし、ゲームのプレイを通じて最適な行動ポリシーを学習させます。トレーニングの進行状況を監視し、エージェントのパフォーマンスを評価します。

この概要が、Pongゲームにおける強化学習の実装に対する理解の一助となれば幸いです。特定のアルゴリズムの実装の詳細や、コードの例が必要な場合は、さらに詳しい説明を提供できますので、お知らせください。


左矢前のブログ 次のブログ右矢

posted by sakurai on January 4, 2024 #728

BSVに簡単に変換できるからC/C++が優位とは論理が反対です。それはC/C++の優位性が無いことを示すものです。また合成できない機能についてはBSVに関係のないC/C++の欠点です。

逆です。それらはC/C++の優位性が無いことを表すものです。もともとポインタや動的メモリ管理等は合成できないのがC/C++の欠点です。なのでC/C++の優位性はそれらを除く逐次処理です。ところがその部分は自動ステートマシン合成を用いて容易にBSVに移植できるので、結論としてC/C++の優位性はほぼなく、BSVの優位性だけが示されるのではないですか?

ChatGPT
ご指摘の通り、C/C++のハードウェア合成における逐次処理の部分がBSVに容易に移植できる場合、C/C++の特有の優位性は大きく減少する可能性があります。特に、以下の点を考慮すると、BSVの優位性がより顕著になります:

  1. ハードウェア特有の機能:
    BSVはハードウェア設計のために特化された言語であり、ハードウェアの並行処理、同期、タイミング制御などの機能を直接的に表現できます。

  2. 自動ステートマシンの合成:
    BSVはC/C++の逐次的なコードをステートマシンに変換する能力があり、これにより、C/C++の逐次処理の優位性が相対的に低下します。

  3. 高レベルの抽象化:
    BSVはハードウェアの動作をより高い抽象化レベルで記述でき、複雑なハードウェアロジックの実装を容易にします。

C/C++によるハードウェア合成の主な利点の一つである既存のコードベースの再利用は、ポインタや動的メモリ割り当てなどの合成不可能な特性を除けば、BSVによってカバーできる範囲が広がります。結果として、特に逐次処理に関しては、BSVによる合成がより効率的かつ適切な選択肢となる可能性が高まります。

これにより、ハードウェア設計におけるBSVの優位性が強調され、C/C++の利用が必要とされるケースは限定的なものになるかもしれません。


所感:
ChatGPTはこのように平気で誤った論理的結論を導出することがあることに注意が必要です。一方、考え方の幅を広げて貰うには最適なことからそのような目的で使うべきであり、結論を導いて貰うべきではありません。

BSVとC/C++の比較の結論としては、技術的にはBSVのほうが圧倒的に有利であり、一方非技術的には、例えば学習コスト、ユーザベース、ツールチェイン等の面でC/C++が有利と理解しました。


左矢前のブログ 次のブログ右矢


ページ: