Posts Tagged with "ISO 26262"

既に発行済みのブログであっても適宜修正・追加することがあります。
We may make changes and additions to blogs already published.

PMHF計算に関する積分公式 (3)

posted by sakurai on March 25, 2020 #226

#223に示した理由により、本稿の議論は全て取り消します。

前稿の続きで、ISO 26262のPMHFの導出の場合、確率積分を実行する際に次の(226.1)が出てくるため、あらかじめ結果を導出しておき、後程積分公式として使用します。 $$ \img[-1.35em]{/images/withinseminar.png} \tag{226.1} $$ $t=i\tau+u, i=0,1,...,n-1, n:=\frac{T_\text{lifetime}}{\tau}$とおいて変数変換すれば、 $$ (226.1)=\frac{1}{T_\text{lifetime}}\sum_{i=0}^{n-1}\int_0^\tau(i\tau+u)e^{-\lambda_\text{IF}(i\tau+u)-\lambda_\text{SM}u}du\\ =\tau\sum_{i=0}^{n-1}i e^{-\lambda_\text{IF}i\tau} \frac{1}{T_\text{lifetime}}\int_0^\tau e^{-(\lambda_\text{IF}+\lambda_\text{SM})u}du +\sum_{i=0}^{n-1}e^{-\lambda_\text{IF}i\tau}\frac{1}{T_\text{lifetime}}\int_0^\tau ue^{-(\lambda_\text{IF}+\lambda_\text{SM})u}du\\ \tag{226.2} $$ ここで、(226.2)右辺第1項の級数の和を求めるため和を$x$とおけば、 $$ x:=\sum_{i=0}^{n-1}i e^{-\lambda_\text{IF}i\tau}=e^{-\lambda_\text{IF}\tau}+2e^{-\lambda_\text{IF}2\tau}+...+(n-1)e^{-\lambda_\text{IF}(n-1)\tau}\tag{226.3} $$ となり、 $$ e^{-\lambda_\text{IF}\tau}x=\sum_{i=0}^{n-1}i e^{-\lambda_\text{IF}(i+1)\tau}=e^{-\lambda_\text{IF}2\tau}+...+(n-2)e^{-\lambda_\text{IF}(n-1)\tau}+(n-1)e^{-\lambda_\text{IF}n\tau}\tag{226.4} $$ よって、(226.3)-(226.4)より、 $$ x(1- e^{-\lambda_\text{IF}\tau})=e^{-\lambda_\text{IF}\tau}+e^{-\lambda_\text{IF}2\tau}+...+e^{-\lambda_\text{IF}(n-1)\tau}-(n-1)e^{-\lambda_\text{IF}n\tau}\\ =\underbrace{e^{-\lambda_\text{IF}\tau}+e^{-\lambda_\text{IF}2\tau}+...+e^{-\lambda_\text{IF}(n-1)\tau}+e^{-\lambda_\text{IF}n\tau}}_{\text{n terms}}-ne^{-\lambda_\text{IF}n\tau}\\ =e^{-\lambda_\text{IF}\tau}\frac{1-e^{-\lambda_\text{IF}T_\text{lifetime}}}{1-e^{-\lambda_\text{IF}\tau}}-n e^{-\lambda_\text{IF}T_\text{lifetime}}\tag{226.5} $$ よって、Maclaurin展開の1次近似を用いれば、 $$ \require{cancel} x\approx\frac{\bcancel{\lambda_\text{IF}}T_\text{lifetime}}{\lambda_\text{IF}^\bcancel{2}\tau^2}(1-\lambda_\text{IF}\tau)-\frac{n(1-\lambda_\text{IF}T_\text{lifetime})}{\lambda_\text{IF}\tau}\\ =\frac{T_\text{lifetime}(\bcancel{1}-\bcancel{\lambda_\text{IF}}\tau)-T_\text{lifetime}(\bcancel{1}-\bcancel{\lambda_\text{IF}}T_\text{lifetime})}{\bcancel{\lambda_\text{IF}}\tau^2}=\frac{T_\text{lifetime}(T_\text{lifetime}-\tau)}{\tau^2}\tag{226.6} $$ 次に、(226.2)右辺第2項の級数の和は、 $$ \sum_{i=0}^{n-1}e^{-\lambda_\text{IF}i\tau}=e^{-\lambda_\text{IF}\tau}+...+e^{-\lambda_\text{IF}(n-1)\tau}=\frac{1-e^{-\lambda_\text{IF}T_\text{lifetime}}}{1-e^{-\lambda_\text{IF}\tau}} \approx\frac{\bcancel{\lambda_\text{IF}}T_\text{lifetime}}{\bcancel{\lambda_\text{IF}}\tau} \tag{226.7} $$ 次に、(226.2)右辺第1項の定積分の値は、 $$ \int_0^\tau e^{-(\lambda_\text{IF}+\lambda_\text{SM})u}du =\left[\frac{e^{-(\lambda_\text{IF}+\lambda_\text{SM})u}}{-(\lambda_\text{IF}+\lambda_\text{SM})}\right]_0^\tau =\frac{e^{-(\lambda_\text{IF}+\lambda_\text{SM})\tau}-1}{-(\lambda_\text{IF}+\lambda_\text{SM})}\\ \approx\frac{1}{\bcancel{\lambda_\text{IF}+\lambda_\text{SM}}}\left(\bcancel{(\lambda_\text{IF}+\lambda_\text{SM})}\tau-\frac{1}{2}(\lambda_\text{IF}+\lambda_\text{SM})^\bcancel{2}\tau^2\right) =\tau\left(1-\frac{1}{2}(\lambda_\text{IF}+\lambda_\text{SM})\tau\right) \tag{226.8} $$ 以上から、$\color{red}{(226.5)}$と$\color{green}{(226.6)}$を(226.2)に適用し、$\color{blue}{(226.7})$と部分積分の結果$\color{purple}{(225.1)}$を用いれば、 $$ (226.2)=\bcancel{\tau}\color{red}{\left(\frac{\bcancel{T_\text{lifetime}}(T_\text{lifetime}-\tau)}{\bcancel{\tau^2}}\right)}\frac{1}{\bcancel{T_\text{lifetime}}}\color{blue}{\bcancel{\tau}\left(1-\frac{1}{2}(\lambda_\text{IF}+\lambda_\text{SM})\tau\right)}\\ +\color{green}{\frac{\bcancel{T_\text{lifetime}}}{\bcancel{\tau}}}\frac{1}{\bcancel{T_\text{lifetime}}} \color{purple}{\left(\frac{\tau^\bcancel{2}}{2}- \frac{(\lambda_\text{IF}+\lambda_\text{SM})\tau^{\bcancel{3}2}}{3}\right)}\\ =(T_\text{lifetime}-\tau)\left(1-\frac{1}{2}(\lambda_\text{IF}+\lambda_\text{SM})\tau\right)+\left(\frac{\tau}{2}-\frac{1}{3}(\lambda_\text{IF}+\lambda_\text{SM})\tau^2\right)\\ =\left(1-\frac{1}{2}(\lambda_\text{IF}+\lambda_\text{SM})\tau\right)T_\text{lifetime}-\frac{\tau}{2}+\frac{1}{6}(\lambda_\text{IF}+\lambda_\text{SM})\tau^2 \tag{226.9} $$


左矢前のブログ 次のブログ右矢

PMHF計算に関する積分公式 (2)

posted by sakurai on March 24, 2020 #225

#223に示した理由により、本稿の議論は全て取り消します。

ISO 26262のPMHFの導出の場合、確率積分を実行する際に次の(225.1)が出てくるため、あらかじめ結果を導出しておき、後程積分公式として使用します。 $$ \img[-1.35em]{/images/withinseminar.png} \tag{225.1} $$ 部分積分により、 $$ \require{cancel} (225.1)=\left[\frac{t e^{-\lambda t}}{-\lambda }\right]_0^{\tau} -\int_0^{\tau}\frac{e^{-\lambda t}}{-\lambda }dt =\left(\frac{\tau e^{-\lambda\tau}}{-\lambda }\right) -\left[\frac{e^{-\lambda t}}{\lambda ^2}\right]_0^{\tau}\\ =-\frac{\tau}{\lambda}e^{-\lambda \tau} +\left(\frac{1-e^{-\lambda\tau}}{\lambda ^2}\right)\\ \approx-\frac{\tau}{\lambda}\left(1-\lambda\tau+\frac{1}{2}\lambda^2\tau^2\right) +\frac{1}{\lambda^\bcancel{2}}\left(\bcancel{\lambda}\tau-\frac{1}{2}\lambda^\bcancel{2}\tau^2+\frac{1}{6}\lambda^{\bcancel{3}2}\tau^3\right)\\ =-\frac{1}{\bcancel{\lambda}}\left(\bcancel{\tau}-\bcancel{\lambda}\tau^2+\frac{1}{2}\lambda ^\bcancel{2}\tau^3\right) +\frac{1}{\bcancel{\lambda}}\left(\bcancel{\tau}-\frac{1}{2}\bcancel{\lambda}\tau^2+\frac{1}{6}\lambda^\bcancel{2}\tau^3\right)\\ =\frac{\tau^2}{2}-\frac{\lambda\tau^3}{3} \tag{225.2} $$ 積分範囲が$[0, \tau)$ではなく、$[0, T_\text{lifetime})$の場合で車両寿命で平均化する場合は、$\tau$を$T_\text{lifetime}$と置きなおせば、

$$ \frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}} t e^{-\lambda t}dt =\frac{T_\text{lifetime}}{2}-\frac{\lambda T_\text{lifetime}^2}{3}\tag{225.3} $$ と求まります。


左矢前のブログ 次のブログ右矢

IFのAvailabilityの平均化

posted by sakurai on March 20, 2020 #224

#223に示した理由により、本稿の議論は全て取り消します。

今回はダイレクトに $$ \img[-1.35em]{/images/withinseminar.png} \tag{224.1} $$ を求めます。

まず、(224.1)式に、指数分布式である $$ \begin{eqnarray} \begin{cases} A_\text{IF}\text(s)&=&(1-K_\text{IF,MPF})e^{-\lambda_\text{IF}s}+K_\text{IF,MPF}e^{-\lambda_\text{IF}u}, u:=s\bmod \tau及び\\ R_\text{IF}(s)&=&e^{-\lambda_\text{IF}s} \end{cases} \end{eqnarray}\tag{224.2} $$ を代入し、 $$ \begin{eqnarray} (224.1)&=&\frac{1}{t}\int_0^t\left[(1-K_\text{IF,MPF})e^{-\lambda_\text{IF}s}+K_\text{IF,MPF}e^{-\lambda_\text{IF}u}\right]e^{-\lambda_\text{IF}(t-s)}ds\\ &=&\frac{1-K_\text{IF,MPF}}{t}\int_0^te^{-\lambda_\text{IF}s}e^{-\lambda_\text{IF}(t-s)}ds +\frac{K_\text{IF,MPF}}{t}\int_0^te^{-\lambda_\text{IF}u}e^{-\lambda_\text{IF}(t-s)}ds\\ &=&\frac{1-K_\text{IF,MPF}}{t}e^{-\lambda_\text{IF}t}\int_0^t ds +\frac{K_\text{IF,MPF}}{t}e^{-\lambda_\text{IF}t}\int_0^te^{-\lambda_\text{IF}(u-s)}ds\\ \end{eqnarray} \tag{224.3} $$ ここで、右辺第2項において、$u=s\bmod\tau$より、$s=i\tau+u, i=0,1,...,k-1, t=k\tau$とおいて、$s$を$u$と$i$で表し $$ \img[-1.35em]{/images/withinseminar.png} \tag{224.4} $$ を計算すると、 $$ (224.4)=\sum_{i=0}^{k-1}\int_0^\tau e^{\lambda_\text{IF}i\tau}du =\sum_{i=0}^{k-1}e^{\lambda_\text{IF}i\tau}\int_0^\tau du =\tau\sum_{i=0}^{k-1}e^{\lambda_\text{IF}i\tau} \tag{224.5} $$

ここで、等比数列の和及びMaclaurin展開の1次近似より、 $$ \require{cancel} (224.5)=\tau\frac{1-e^{\lambda_\text{IF}k\tau}}{1-e^{\lambda_\text{IF}\tau}} =\tau\frac{1-e^{\lambda_\text{IF}t}}{1-e^{\lambda_\text{IF}\tau}} \approx\bcancel{\tau}\frac{\bcancel{\lambda_\text{IF}}t-\frac{1}{2}\lambda_\text{IF}^\bcancel{2}t^2}{\bcancel{\lambda_\text{IF}}\bcancel{\tau}-\frac{1}{2}\lambda_\text{IF}^\bcancel{2}\tau^\bcancel{2}} =\frac{t-\frac{1}{2}\lambda_\text{IF}t^2}{1-\frac{1}{2}\lambda_\text{IF}\tau}\\ \approx\left(t-\frac{1}{2}\lambda_\text{IF}t^2\right)\left(1+\frac{1}{2}\lambda_\text{IF}\tau\right) \tag{224.6} $$ であるから、(224.6)及び(224.4)の結果を(224.3)に用いれば、 $$ (224.3)\approx\frac{1-K_\text{IF,MPF}}{\bcancel{t}}e^{-\lambda_\text{IF}t}\bcancel{t} +\frac{K_\text{IF,MPF}}{\bcancel{t}}e^{-\lambda_\text{IF}t}\bcancel{t}\left(1-\frac{1}{2}\lambda_\text{IF}t\right)\left(1+\frac{1}{2}\lambda_\text{IF}\tau\right)\\ \tag{224.7} $$ ここで、$\lambda_\text{IF}^2\approx0$と置いて、 $$ (224.7)\approx\left(1\bcancel{-K_\text{IF,MPF}}\right)e^{-\lambda_\text{IF}t} +K_\text{IF,MPF}e^{-\lambda_\text{IF}t}\left(\bcancel{1}-\frac{1}{2}\lambda_\text{IF}(t-\tau)\right)\\ =e^{-\lambda_\text{IF}t}-\frac{1}{2}K_\text{IF,MPF}\lambda_\text{IF}(t-\tau)e^{-\lambda_\text{IF}t} =\left(1-\frac{1}{2}K_\text{IF,MPF}\lambda_\text{IF}(t-\tau)\right)R_\text{IF}(t) \tag{224.8} $$ 以上から、$s$を消去して$t$で表すことができました。


左矢前のブログ 次のブログ右矢

$M_\text{PMHF}$の計算 (10)

posted by sakurai on March 17, 2020 #223

SMがフォールトしてLAT2のステートに来た時刻を$s$とすると、時刻$t$以前に来たことから$0\le s\le t$であり、SMとIFは故障事象自体は独立ですが、相手の故障事象により自分の状態確率が変化します。

この論点は、LAT2においてはSMがフォールトしているので、IFがアンリペアラブルである⇒LAT2に来た時間$s$により状態確率$\Pr\{\text{LAT2 at }t\}$が変化する⇒マルコフ性が崩れる、と新たに誤解したことによるものです。

正しくは、IFのリペアラビリティは1st SMであるSM(=LAT2でダウンしている)により決まりません。IFのリペアラビリティは2nd SMにのみ決定され、2nd SMは故障しないため、マルコフ性は崩れていません。従って本稿(#223)以降(~#228)の議論は全て取り消します。

正しい議論は以前のhttp://fs-micro.com/blogSummary.htmlの「PMHFの計算」~「PMHFの計算(8)」のとおりです。

従って、時刻$t$以前の時刻$s$の$0\le s\le t$におけるIFの平均稼働確率を求め、それを用いて状態確率を表し、さらに遷移確率をかけるという方法で解きます。

以前求めた、$M_\text{PMHF}$の計算(8)の式(222.2)は、 $$ \begin{eqnarray} \Pr\{\mathrm{LAT2\ at\ }t\}&=&\Pr\{\mathrm{IF^R\ up\ at\ }t\cap\mathrm{SM\ down\ at\ }t\}\\ &=&\Pr\{\mathrm{IF^R\ up\ at\ }t\}\Pr\{\mathrm{SM\ down\ at\ }t\}\\ &=&\color{red}{A_{\mathrm{IF}}(t)}Q_{\mathrm{SM}}(t)\tag{222.2再掲} \end{eqnarray} $$ でしたが、IFのAvailability$\Pr\{\mathrm{IF^R\ up\ at\ }t\}$は、OPRに居る時、すなわち時刻$s$以前にSMがupな状態では、IFはリペアラブル($=\mathrm{IF^\text{R}}$)であり、時刻$s$でSMにフォールトが起きてdownしLAT2に来た時からは、IFはアンリペアラブル($=\mathrm{IF^\text{U}}$)となります。よって、本来は $$ \begin{eqnarray} \Pr\{\mathrm{IF^R\ up\ at\ }t\}&=&\Pr\{\mathrm{IF^\text{R}\ up\ at\ }s\cap\mathrm{IF^\text{U}\ up\ in\ }(s, t]\}\\ &=&\Pr\{\mathrm{IF^\text{R}\ up\ at\ }s\}\Pr\{\mathrm{IF^\text{U}\ not\ failed\ in\ }(s, t]\}\\ &=&A_\text{IF}(s)R_\text{IF}(t-s)\tag{223.1} \end{eqnarray} $$ 従って、(222.2)で右辺に$A_\text{IF}(t)$を使用したのは、LAT2におけるIFのAvailabilityの上限を求めたことになります。その理由は、大小関係は $$ R(t)\le A(s)R(t-s)\le A(t)\quad\text{s.t. }0\le s\le t\tag{223.2} $$ だからです。従って、IFのAvailabilityの下限を求めるには、右辺を$R_\text{IF}(t)$とおいて積分します。これは規格式と同じPMHF式を与えます。IFのAvailabilityの下限の積分はIFUモデルと同じになるため、(104.5)を参考にして、 $$ \overline{q_{\mathrm{DPF1,IFR}}}=\frac{K_{\mathrm{IF,RF}}}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}Q_{\mathrm{SM}}(t)\color{red}{R_{\mathrm{IF}}(t)}\lambda_{\mathrm{IF}}dt \approx K_\text{IF,RF}\alpha \tag{223.3} $$ SMのフォールトも同様であり、DPF2平均確率を求めれば、 $$ \overline{q_{\mathrm{DPF2,IFR}}}=\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}Q_{\mathrm{IF}}(t)R_{\mathrm{SM}}(t)\lambda_{\mathrm{SM}}dt \approx\beta \tag{223.4} $$ 前稿と同様に$K_\text{IF,RF}=1$とします。表221.1及び222.1より、

表223.1 IFRモデルのPMHF式$(K_\text{IF,RF}=1)$
(1)+(2b)SPF (2a)DPF1 (3)DPF2
SPF統合(LATにおけるAvailability上限) $0$ $\gamma$ $\gamma$
SPF統合(LATにおけるAvailability下限) $0$ $\alpha$ $\beta$

ただし、 $$ \gamma:=\frac{1}{2}\lambda_\text{IF}\lambda_\text{SM}\left[(1-K_\text{MPF})T_\text{lifetime}+K_\text{MPF}\tau\right],\\ \text{s.t. }K_\text{MPF}:=1-(1-K_\text{IF,MPF})(1-K_\text{SM,MPF})=K_\text{IF,MPF}+K_\text{SM,MPF}-K_\text{IF,MPF}K_\text{SM,MPF}\tag{223.5} $$ 規格式(1/2のおかしな点を修正後)は$K_\text{IF,RF}=1$として、DPFのみを表示すれば、 $$ \begin{eqnarray} 修正版規格式&=&\frac{1}{2}\lambda_\text{SM}(1-K_\text{SM,MPF})&\cdot&\lambda_\text{IF}T_\text{lifetime}\\ &+&\frac{1}{2}\lambda_\text{SM}K_\text{SM,MPF}&\cdot&\lambda_\text{IF}\tau\\ &+&\frac{1}{2}\lambda_\text{IF}(1-K_\text{IF,MPF})&\cdot&\lambda_\text{SM}T_\text{lifetime}\\ &+&\frac{1}{2}\lambda_\text{IF}K_\text{IF,MPF}&\cdot&\lambda_\text{SM}\tau\\ \end{eqnarray} =\lambda_\text{IF}\lambda_\text{SM}\left[(1-\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2})T_\text{lifetime}+\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2}\tau\right]=\alpha+\beta\tag{223.6} $$ 表(223.1)より(223.6)と(223.5)の2倍を比較するため、差を計算すれば、

\(\displaystyle{ \quad\quad\quad(\alpha+\beta)-2\gamma }\)

$$ \begin{eqnarray} &=&\lambda_\text{IF}\lambda_\text{SM}\left[\left(1-\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2}\right)T_\text{lifetime}+\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2}\tau\right]\\ & &-\lambda_\text{IF}\lambda_\text{SM}\left[\left(1-K_\text{MPF}\right)T_\text{lifetime}+K_\text{MPF}\tau\right]\\ &=&\lambda_\text{IF}\lambda_\text{SM}\left[\left(K_\text{MPF}-\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2}\right)T_\text{lifetime}-\left(K_\text{MPF}-\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2}\right)\tau\right]\\ &=&\lambda_\text{IF}\lambda_\text{SM}\left(K_\text{MPF}-\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2}\right)(T_\text{lifetime}-\tau)\\ &=&\lambda_\text{IF}\lambda_\text{SM}\left(\frac{K_\text{IF,MPF}+K_\text{SM,MPF}}{2}-K_\text{IF,MPF}K_\text{SM,MPF}\right)(T_\text{lifetime}-\tau)\ge 0,\\ &\quad\quad&\text{s.t. }K_\text{IF,MPF}, K_\text{SM,MPF}\in[0, 1), T_\text{lifetime}\gg \tau\tag{223.7} \end{eqnarray} $$ よって、 $$2\gamma\le M_\text{PMHF}\le\alpha+\beta \tag{223.8}$$ これより、規格式はPMHFの上限、論文式はPMHFの下限を表しています。


左矢前のブログ 次のブログ右矢

$M_\text{PMHF}$の計算 (9)

posted by sakurai on March 16, 2020 #222

IFRモデル

全く同様な計算をIFRモデルでも行います。同様に(2)を(2a)と(2b)に分離します(図222.1の赤矢印)。

図%%.1
図222.1 LAT2からの分岐をSPF方向とDPF1方向に分離
まず(2a)のDPF1方向への確率積分は、 $$ \begin{eqnarray} \overline{q_{\mathrm{DPF1,IFR}}}&=&\frac{1}{T_\text{lifetime}}\Pr\{\mathrm{DPF1\ at\ }T_\text{lifetime}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{LAT2\ at\ }t\cap\mathrm{IF^R\ down\ in\ }(t, t+dt]\\ & &\cap\mathrm{VSG\ of\ IF\ preventable}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{IF^R\ down\ in\ }(t, t+dt]\ |\ \mathrm{LAT2\ at\ }t\}\\ & &\ \ \ \ \cdot\Pr\{\mathrm{LAT2\ at\ }t\}\Pr\{\mathrm{VSG\ of\ IF\ preventable}\} \end{eqnarray} \tag{222.1} $$ ここで(107.2)(107.3)より、 $$ \Pr\{\mathrm{LAT2\ at\ }t\}=\Pr\{\mathrm{IF^R\ up\ at\ }t\cap\mathrm{SM\ down\ at\ }t\}\\ =\Pr\{\mathrm{IF^R\ up\ at\ }t\}\Pr\{\mathrm{SM\ down\ at\ }t\}\\=A_{\mathrm{IF}}(t)Q_{\mathrm{SM}}(t)\tag{222.2} $$ 一方、(107.7)より、 $$ \Pr\{\mathrm{IF^R\ down\ in\ }(t, t+dt]\ |\ \mathrm{LAT2\ at\ }t\}\\ =\Pr\{\mathrm{IF^R\ down\ in\ }(t, t+dt]\ |\ \mathrm{IF^R\ up\ at\ }t\}=\lambda_{\mathrm{IF}}dt\tag{222.3} $$ (222.2)、(222.3)を(222.1)に用いれば、 $$ \overline{q_{\mathrm{DPF1,IFR}}}=\frac{K_{\mathrm{IF,RF}}}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}Q_{\mathrm{SM}}(t)A_{\mathrm{IF}}(t)\lambda_{\mathrm{IF}}dt \tag{222.4} $$ これに(107.8)の結果を利用すれば、 $$ (222.4)=K_{\text{IF,RF}}\beta\tag{222.5} $$

次に(2b)のSPF方向への確率積分は、IFUモデルと変わりません。SPFは、IFのフォールトがアンプリベンタブル(VSG抑止不可)な場合に起きるためです。 $$ \begin{eqnarray} \overline{q_{\mathrm{SPF(2b),IFR}}}&=&\frac{1}{T_\text{lifetime}}\Pr\{\mathrm{SPF(2b)\ at\ }T_\text{lifetime}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{LAT2\ at\ }t\cap\mathrm{IF^U\ down\ in\ }(t, t+dt]\\ & &\cap\overline{\mathrm{VSG\ of\ IF\ preventable}}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{IF^U\ down\ in\ }(t, t+dt]\ |\ \mathrm{LAT2\ at\ }t\}\\ & &\ \ \ \ \cdot\Pr\{\mathrm{LAT2\ at\ }t\}\Pr\{\overline{\mathrm{VSG\ of\ IF\ preventable}}\} \end{eqnarray} \tag{222.6} $$ 同様に(221.2)(221.3)を用いれば、 $$ (222.6)=\frac{1-K_{\text{IF,RF}}}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}Q_{\mathrm{SM}}(t)R_{\mathrm{IF}}(t)\lambda_{\mathrm{IF}}dt \tag{222.7} $$ これに(104.5)の結果を利用すれば、 $$ (222.7)=(1-K_{\text{IF,RF}})\alpha\tag{222.8} $$ 以上より、IFRモデルの統合、分離方式を比較すると、表222.1のようになります。変化点を黄色で示しています。

表222.1 IFRモデルのPMHF式
(1)SPF (2)DPF1 (3)DPF2
LAT2統合 $(1-K_\text{IF,RF})\lambda_\text{IF}-(1-K_\text{IF,RF})\alpha$
(103.7)
$(1-K_\text{IF,RF})\alpha+K_\text{IF,RF}\beta$
(107.8)
$K_\text{IF,RF}\beta$
(106.4)
規格式1(1)+(2)$\dagger$ $(1-K_\text{IF,RF})\lambda_\text{IF}+K_\text{IF,RF}\beta$
規格式3(1)+(2)+(3)$\dagger$ $(1-K_\text{IF,RF})\lambda_\text{IF}+2K_\text{IF,RF}\beta$
(1)SPF (2b)SPF' (2a)DPF1 (3)DPF2
LAT2分離 $(1-K_\text{IF,RF})\lambda_\text{IF}-(1-K_\text{IF,RF})\alpha$ $(1-K_\text{IF,RF})\alpha$
(222.7)
$K_\text{IF,RF}\beta$
(222.5)
$K_\text{IF,RF}\beta$
(1)+(2b)SPF (2a)DPF1 (3)DPF2
SPF統合 $(1-K_\text{IF,RF})\lambda_\text{IF}$ $K_\text{IF,RF}\beta$ $K_\text{IF,RF}\beta$
SPF/DPF統合 $(1-K_\text{IF,RF})\lambda_\text{IF}$ $2K_\text{IF,RF}\beta$

$$ \text{ただし、} \begin{cases} \alpha:=\frac{1}{2}\lambda_{\mathrm{IF}}\lambda_{\mathrm{SM}}[(1-K_{\mathrm{SM,MPF}})T_\text{lifetime}+K_{\mathrm{SM,MPF}}\tau]\\ \beta:=\frac{1}{2}\lambda_\text{IF}\lambda_\text{SM}\left[(1-K_\text{MPF})T_\text{lifetime}+K_\text{MPF}\tau\right]\\ K_\text{MPF}:=K_\text{IF,MPF}+K_\text{SM,MPF}-K_\text{IF,MPF}K_\text{SM,MPF} \end{cases} $$

前稿と同様、SPF統合のほうが単純な式となっています。LAT2統合において、SPFもDPF1も複雑な式でしたが、まとめ方を変えると単純な式となるため、この方が本質だと考えます。

一般式

表222.1より、2020年RAMS論文で示したように一般式は以下のようになります。 $$ M_\text{PMHF}=\bbox[#ccffff,2pt]{(1-K_\text{IF,RF})\lambda_\text{IF}+2K_\text{IF,RF}\beta}\\ =(1-K_\text{IF,RF})\lambda_\text{IF}+K_\text{IF,RF}\lambda_\text{IF}\lambda_\text{SM}\left[(1-K_\text{MPF})T_\text{lifetime}+K_\text{MPF}\tau\right]\\ s.t.\quad K_\text{MPF}:=K_\text{IF,MPF}+K_\text{SM,MPF}-K_\text{IF,MPF}K_\text{SM,MPF} \tag{222.9} $$

また、$K_\text{IF,MPF}=0$のとき、すなわち、IFRモデルにおいて、IFの2nd SMが存在せずアンリペアラブルとなるときは$K_\text{MPF}=K_\text{SM,MPF}$となるため、$\beta=\alpha$となり、当然ですがIFRモデルはIFUモデルと同一の式となります。

冗長構成

IFRモデルはIFもSMもリペアラブルということは冗長構成により$K_\text{IF,RF}=1$となるため、それを適用したものを表222.2に示します。SPFが0となるため、LAT2統合でもSPF統合でも

  • $M_\text{PMHF,SPF}=0$
  • $M_\text{PMHF,DPF1}=\beta$

となり変わりません。

表222.2 冗長構成のIFRモデルのPMHF式$(K_\text{IF,RF}=1)$
(1)SPF (2)DPF1 (3)DPF2
LAT2統合 $0$ $\beta$ $\beta$
規格式1(1)+(2)$\dagger$ $\beta$
規格式3(1)+(2)+(3)$\dagger$ $2\beta$
(1)SPF (2b)SPF' (2a)DPF1 (3)DPF2
LAT2分離 $0$ $0$ $\beta$ $\beta$
(1)+(2b)SPF (2a)DPF1 (3)DPF2
SPF統合 $0$ $\beta$ $\beta$
SPF/DPF統合 $0$ $2\beta$

$$M_\text{PMHF,RD}=\bbox[#ccffff,2pt]{2\beta}\\ =\lambda_\text{IF}\lambda_\text{SM}\left[(1-K_\text{MPF})T_\text{lifetime}+K_\text{MPF}\tau\right]\tag{222.10}$$


$\dagger$規格式1: 規格第1版 Part 10-8.3.3の第1式(ブログの図104.2)の条件=IFが後にフォールトする場合。DPF2はSMが後にフォールトする場合なので対象外
$\dagger$規格式3: 規格第1版 Part 10-8.3.3の第3式(ブログの図105.2)の条件=IF, SMのフォールトの順を問わない場合


左矢前のブログ 次のブログ右矢

$M_\text{PMHF}$の計算 (8)

posted by sakurai on March 13, 2020 #221

IFUモデル LAT2分離

IFUモデルのLAT2ステートにおいて、IFのアンプリベンタブル(VSG抑止不可)な部分にフォールトが起きた場合、そのフォールトはSMのup/down状態に依存しないため、本質的には広義のSPF(SMがあるので狭義ではRF)ですが、形式的にはSMのフォールトに引き続いて起きるためDPFとしました(#104)。

前稿(#102~#108)のPMHF導出においては、DPFとして扱いましたが、「$M_{\mathrm{PMHF}}$の計算(2)」のMarkov chain図を変更し、LAT2からDPF1への遷移(2)を分離して、DPF1への遷移(2a)とSPF1への遷移(2b)とに分離します(図221.1の赤矢印)。

図%%.1
図221.1 LAT2からの遷移を分離

図221.1の(1)、(3)の確率微分方程式は変わりません。まず(2a)のDPF1方向への確率積分は、 $$ \begin{eqnarray} \overline{q_{\mathrm{DPF1,IFU}}}&=&\frac{1}{T_\text{lifetime}}\Pr\{\mathrm{DPF1\ at\ }T_\text{lifetime}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{LAT2\ at\ }t\cap\mathrm{IF^U\ down\ in\ }(t, t+dt]\\ & &\cap\mathrm{VSG\ of\ IF\ preventable}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{IF^U\ down\ in\ }(t, t+dt]\ |\ \mathrm{LAT2\ at\ }t\}\\ & &\ \ \ \ \cdot\Pr\{\mathrm{LAT2\ at\ }t\}\Pr\{\mathrm{VSG\ of\ IF\ preventable}\} \end{eqnarray} \tag{221.1} $$ ここで(104.2)(104.3)より、 $$ \Pr\{\mathrm{LAT2\ at\ }t\}=\Pr\{\mathrm{IF^U\ up\ at\ }t\cap\mathrm{SM\ down\ at\ }t\}\\ =\Pr\{\mathrm{IF^U\ up\ at\ }t\}\Pr\{\mathrm{SM\ down\ at\ }t\}\\=R_{\mathrm{IF}}(t)Q_{\mathrm{SM}}(t)\tag{221.2} $$ (104.4)より、 $$ \Pr\{\mathrm{IF^U\ down\ in\ }(t, t+dt]\ |\ \mathrm{LAT2\ at\ }t\}\\ =\Pr\{\mathrm{IF^U\ down\ in\ }(t, t+dt]\ |\ \mathrm{IF^U\ up\ at\ }t\}=\lambda_{\mathrm{IF}}dt\tag{221.3} $$ (221.2)、(221.3)を(221.1)に用いれば、 $$ \overline{q_{\mathrm{DPF1,IFU}}}=\frac{K_{\text{IF,RF}}}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}Q_{\mathrm{SM}}(t)R_{\mathrm{IF}}(t)\lambda_{\mathrm{IF}}dt \tag{221.4} $$ ゆえに、(104.5)の結果を利用すれば、(2a)は、 $$ (221.4)=K_{\text{IF,RF}}\alpha\tag{221.5} $$

次に(2b)のSPF方向への確率積分は、 $$ \begin{eqnarray} \overline{q_{\mathrm{SPF(2b),IFU}}}&=&\frac{1}{T_\text{lifetime}}\Pr\{\mathrm{SPF(2b)\ at\ }T_\text{lifetime}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{LAT2\ at\ }t\cap\mathrm{IF^U\ down\ in\ }(t, t+dt]\\ & &\cap\overline{\mathrm{VSG\ of\ IF\ preventable}}\}\\ &=&\frac{1}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}\Pr\{\mathrm{IF^U\ down\ in\ }(t, t+dt]\ |\ \mathrm{LAT2\ at\ }t\}\\ & &\ \ \ \ \cdot\Pr\{\mathrm{LAT2\ at\ }t\}\Pr\{\overline{\mathrm{VSG\ of\ IF\ preventable}}\} \end{eqnarray} \tag{221.6} $$ 同様に(221.2)、(221.3)を用いれば、 $$ \overline{q_{\mathrm{SPF(2b),IFU}}}=\frac{1-K_{\text{IF,RF}}}{T_\text{lifetime}}\int_0^{T_\text{lifetime}}Q_{\mathrm{SM}}(t)R_{\mathrm{IF}}(t)\lambda_{\mathrm{IF}}dt \tag{221.7} $$ ゆえに、(104.5)の結果を利用すれば、(2b)は、 $$ (221.7)=(1-K_{\text{IF,RF}})\alpha\tag{221.8} $$

IFUモデル SPF統合

$\dagger$「IFUモデルのLAT2において、IFのアンプリベンタブル部分にフォールトが起きた場合」について、SPFへの遷移(2b)とDPF1への遷移(2a)の確率積分を行いました。今度はSPFへの確率積分を統合します。図221.1において(1)と(2b)はいずれもSPFとして扱い、これをSPF統合と呼びます。

  • LAT2統合 --- $\dagger$の場合、SPFへの遷移(2b)は本質的にSPFであるが、形式的にSMのフォールトに引き続くDPF(2)=(2a)+(2b)として計算、#103~#105の議論
  • LAT2分離 --- $\dagger$の場合、LAT2からの遷移(2)を、SPFへの遷移(2b)とDPF1への遷移(2a)に分離、本稿の議論
  • SPF統合 --- $\dagger$の場合、LAT2からSPFへの遷移(2b)と、元のOPRからSPFへの遷移(1)を統合、LAT2分離によりそれぞれ求めた確率の組み合わせを変更、本稿の議論
  • SPF/DPF統合 --- $\dagger$の場合、SPF統合に加えて、DPF1DPF2は同じ状態であるため、DPFも統合

それぞれの方式のPMHF式と、参考にPMHF規格式を比較すると、表221.1のようになります。前稿からの変化部分を黄色で示しています。

表221.1 IFUモデルのPMHF式
(1)SPF (2)DPF1 (3)DPF2
LAT2統合 $(1-K_\text{IF,RF})\lambda_\text{IF}-(1-K_\text{IF,RF})\alpha$
(103.7)
$\alpha$
(104.5)
$K_\text{IF,RF}\alpha$
(105.5)
規格式1(1)+(2)$\dagger$ $(1-K_\text{IF,RF})\lambda_\text{IF}+K_\text{IF,RF}\alpha$
(104.6)

規格式3(1)+(2)+(3)$\dagger$ $(1-K_\text{IF,RF})\lambda_\text{IF}+2K_\text{IF,RF}\alpha$
(1)SPF (2b)SPF' (2a)DPF1 (3)DPF2
LAT2分離 $(1-K_\text{IF,RF})\lambda_\text{IF}-(1-K_\text{IF,RF})\alpha$ $(1-K_\text{IF,RF})\alpha$
(221.8)
$K_\text{IF,RF}\alpha$
(221.5)
$K_\text{IF,RF}\alpha$
(1)+(2b)SPF (2a)DPF1 (3)DPF2
SPF統合 $(1-K_\text{IF,RF})\lambda_\text{IF}$ $K_\text{IF,RF}\alpha$ $K_\text{IF,RF}\alpha$
SPF/DPF統合 $(1-K_\text{IF,RF})\lambda_\text{IF}$ $2K_\text{IF,RF}\alpha$

ただし$\alpha:=\frac{1}{2}\lambda_{\mathrm{IF}}\lambda_{\mathrm{SM}}[(1- K_{\mathrm{SM,MPF}})T_\text{lifetime}+K_{\mathrm{SM,MPF}}\tau]$

以上より、一般式は、 $$M_\text{PMHF}=(1-K_\text{IF,RF})\lambda_\text{IF}+2K_\text{IF,RF}\alpha\\ =(1-K_\text{IF,RF})\lambda_\text{IF}+K_\text{IF,RF}\lambda_\text{IF}\lambda_\text{SM}\left[(1-K_\text{SM,MPF})T_\text{lifetime}+K_\text{SM,MPF}\tau\right]\tag{221.9}$$

SPF統合は、SPFのPMHFがRFの定義そのままという、非常に単純な式となっています。従って、#103のSPFのPMHF式や1st editionの規格式が複雑なのは、形式上のDPFをSPFから差し引いたためと言えます。また、DPF1とDPF2はルートが異なるのに同一の確率となっているのが少々驚きです。


$\dagger$規格式1: 規格第1版 Part 10-8.3.3の第1式(ブログの図104.2)の条件=IFが後にフォールトする場合。DPF2はSMが後にフォールトする場合なので対象外
$\dagger$規格式3: 規格第1版 Part 10-8.3.3の第3式(ブログの図105.2)の条件=IF, SMのフォールトの順を問わない場合

RAMS 2021において、PMHF式に基づくFTA構築法の論文発表が終了したため、本記事を開示します。


左矢前のブログ 次のブログ右矢

ISO 26262のFTAに関する論文 (18)

posted by sakurai on March 11, 2020 #219

元に戻って最初の論文を見てみたいと思います。元の論文のFTはLFが考慮されていないものでした。これに対して、前稿において、ワーストケース評価をするため、2nd SMのDC(Diagnostic Coverage)をゼロとして評価しました。

これに対して2nd SMのDCを考慮したらどうなるかを前稿と同様の考え方でやってみます。数式やFTの書き換えルールは基本的に前稿を踏襲しますが、IFUモデルとIFRモデルで数式が異なります。いずれにせよ、$K_\text{SM,MPF}=0$とおいたところに仮に$K_\text{SM,MPF}=0.6$と仮定して計算します。

すると、係数$C_\text{SM,MPF}=0.5368$となり、この係数をEBMとOn-line monitorのDPF項に掛けることになるため、そのFTは図219.1のようになります。

図%%.1
図219.1 Fault Tree

図219.2に図219.1のFTの拡大図を示します。C100として上記係数0.5368をかけています。

図%%.2
図219.2 Fault Treeの拡大

MCS分析を実施すると、42個のMCが得られ、3個以上のエレメント故障をカットすると、24個のMCが残ります。結果として、全く変化はありませんでした。

今回カットされた積項を表219.1に示します。加えた定数(赤字)は全て3点故障以上の積項に掛けられており、全てカットされています。ただし、カットされた積項は18個のはずですが、ツールのバグか17個となっています。

表219.1 図219.1のMCSのカット部分
表%%.1

得られたMCSを表219.2に示します。エレメント故障は2以下のみであり、定数を青字で示しています。

表219.2 図219.1のMCS
表%%.2

元々2 outof 4という変則的な2冗長内部のSMなので、IFとSMのANDはそれだけで4エレメント故障の積項となります。従って、この積項に何を追加しても元々消えるべき項でした。

RAMS 2021において、PMHF式に基づくFTA構築法の論文発表が終了したため、本記事を開示します。


左矢前のブログ 次のブログ右矢

ISO 26262のFTAに関する論文 (17)

posted by sakurai on March 10, 2020 #218

参照論文では、前提が誤っている$\dagger$ものの、2nd Edition規格式に近い形でFTを構成しているようです。例えば、AのRFが先に起きて、BのSPF/RFが後から起きる場合と、その逆パターンのORとなっています。一方、規格式ではAのLFが先に起きて、BのSPF/RFが後から起きる場合と、その逆パターンのORとなっています。従って、参照論文のRFをLFと読み替えれば、規格式と結果的に同じになります。

$$ \begin{eqnarray} \Pr\{\text{TOP Failure}\}&=&M_\text{PMHF}T_\text{L} \\ &=&\frac{1}{2}\lambda_\text{E1}\left[(1-K_\text{E1,MPF})T_\text{L}+K_\text{E1,MPF}\tau\right]\cdot \lambda_\text{E2}T_\text{L} \\ &+&\frac{1}{2}\lambda_\text{E2}\left[(1-K_\text{E2,MPF})T_\text{L}+K_\text{E2,MPF}\tau\right]\cdot \lambda_\text{E1}T_\text{L}\\ &=&\frac{1}{2}(\lambda_\text{E1}T_\text{L})(\lambda_\text{E2}T_\text{L})\left(2-K_\text{E1,MPF}-K_\text{E2,MPF}+(K_\text{E1,MPF}+K_\text{E2,MPF})\cdot\frac{\tau}{T_\text{L}}\right)\\ &=&(\lambda_\text{E1}T_\text{L})(\lambda_\text{E2}T_\text{L})C_\text{1, 2}' \end{eqnarray} $$

今回のE1, E2のペアで$C_\text{1, 2}'$を計算したところ、表218.1に示すようにC10からC19の10種類の定数が得られました。

表218.1
定数記号 定数値
C10 0.23572
C11 0.27046
C12 0.30520
C13 0.38626
C14 0.42100
C15 0.53680
C16 0.61786
C17 0.65260
C18 0.76840
C19 1.00000

よって、2AND項にそれぞれこの定数項を加えて3ANDとすれば、図218.1のようなFTとなります。

図%%.1
図218.1 Fault Tree
このMCSを取得したところ、表218.2のような結果となりました。
表218.2 図218.1のFTのMCS
図%%.1
頂上事象侵害確率は$1.159\times 10^{-3}$、PMHFは77.3[FIT]となりましたが、これは真値に対して38%もの過大評価となっています。

$\dagger$前稿でご説明したように、冗長チャネル内のSMは、2nd order SMなので、冗長チャネル内のエレメントの故障の場合は、RFではなくLFとなります。参照論文ではRF、LFの両方が起きると考えています。


左矢前のブログ 次のブログ右矢

posted by sakurai on March 9, 2020 #217

この結果はワーストケースの評価であり、2nd order SMを無視しているものです。従って、この結果をより実際に近づけるには、2nd order SMのDCをFTに入れる必要があります。

まず、数式で書けば、 $$ \begin{eqnarray} \Pr\{\text{TOP Failure}\}=M_\text{PMHF}\cdot T_\text{L}&=&(\lambda_\text{E1}T_\text{L})(\lambda_\text{E2}T_\text{L}) \left[ (1-K_\text{MPF})+K_\text{MPF}\cdot \frac{\tau}{T_\text{L}} \right]\\ &=&(\lambda_\text{E1}T_\text{L})(\lambda_\text{E2}T_\text{L})C_\text{1, 2} \end{eqnarray} $$ ただし $$ K_\text{MPF}=1-(1-K_\text{E1,MPF})(1-K_\text{E2,MPF}) $$ $C_\text{1, 2}$はE1, E2に依存する定数で、 $$ C_\text{1, 2}\equiv(1-K_\text{MPF})+K_\text{MPF}\cdot \frac{\tau}{T_\text{L}} $$

車両寿命$T_\text{L}=15,000[H]$、定期検査周期$\tau=3,420[H]$として、今回のE1, E2のペアで$C_\text{1, 2}$を計算したところ、表217.1に示すようにC1からC9の9種類の定数が得られました。

表217.1
定数記号 定数値
C1 0.2280772
C2 0.2287720
C3 0.2310880
C4 0.2357200
C5 0.2588800
C6 0.3052000
C7 0.3515200
C8 0.5368000
C9 1.0000000

よって、2入力AND項にそれぞれこの定数項を加えて3ANDとすれば、図217.1のようなFTとなります。今回はマニュアル作業により付加しましたが、モデルもしくはツールを開発した暁には自動的に計算が行われる見込みです。

図%%.1
図217.1 2nd order SM効果を追加したFault Tree

このMCSを取得したところ、表217.2の表のような結果となりました。

表217.2 図217.1のMCS
表%%.2

頂上事象侵害確率は$8.321\times 10^{-4}$、PMHFは55.5[FIT]となりました。このように2nd order SMの効果を入れると、PMHFは25%まで低減することがわかります。

RAMS 2021において、PMHF式に基づくFTA構築法の論文発表が終了したため、本記事を開示します。


左矢前のブログ 次のブログ右矢

posted by sakurai on March 6, 2020 #216

前稿で作成したFTLをSAPHIREにインポートすると、図216.1のようなFTが構成されます。MCSの論理式で示されるとおり、2入力ANDの積項が40個、ORで接続されています。

図%%.1
図216.1 MCSのFault Tree

検証としてこのFTのMCSを確認しますが、当然前々稿と同一のMCSになるはずです。MCS前後で論理は変化しません。表216.1に得られたMCSを示します。

表216.1 MCSのFTをさらにMCS
表%%.1

この頂上事象侵害確率は$3.380\times 10^{-3}$、PMHFは、225[FIT]となりましたが、前回の結果と同一です。


左矢前のブログ 次のブログ右矢


ページ: